Mark Kassab

According to our database1, Mark Kassab authored at least 46 papers between 1995 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Efficient Test Compression Configuration Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Accurate Estimation of Test Pattern Counts for a Wide-Range of EDT Input/Output Channel Configurations.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

2020
Effective Design of Layout-Friendly EDT Decompressor.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channel Configurations.
Proceedings of the IEEE International Test Conference, 2020

Streaming Scan Network (SSN): An Efficient Packetized Data Network for Testing of Complex SoCs.
Proceedings of the IEEE International Test Conference, 2020

Estimation of Test Data Volume for Scan Architectures with Different Numbers of Input Channels.
Proceedings of the IEEE International Test Conference in Asia, 2020

Efficient Prognostication of Pattern Count with Different Input Compression Ratios.
Proceedings of the IEEE European Test Symposium, 2020

2019
TEA: A Test Generation Algorithm for Designs with Timing Exceptions.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2015
Scan Test Bandwidth Management for Ultralarge-Scale System-on-Chip Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Isometric Test Data Compression.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Hybrid Hierarchical and Modular Tests for SoC Designs.
Proceedings of the 24th IEEE North Atlantic Test Workshop, 2015

2014
Erratum to "Test Time Reduction in EDT Bandwidth Management for SoC Designs".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Test Compression Improvement with EDT Channel Sharing in SoC Designs.
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014

Isometric test compression with low toggling activity.
Proceedings of the 2014 International Test Conference, 2014

Using dynamic shift to reduce test data volume in high-compression designs.
Proceedings of the 19th IEEE European Test Symposium, 2014

Timing-Aware ATPG.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Test Time Reduction in EDT Bandwidth Management for SoC Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

EDT bandwidth management - Practical scenarios for large SoC designs.
Proceedings of the 2013 IEEE International Test Conference, 2013

2012
EDT Bandwidth Management in SoC Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

2011
A novel Test Access Mechanism for failure diagnosis of multiple isolated identical cores.
Proceedings of the 2011 IEEE International Test Conference, 2011

EDT channel bandwidth management in SoC designs with pattern-independent test access mechanism.
Proceedings of the 2011 IEEE International Test Conference, 2011

2010
At-speed scan test with low switching activity.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Clock control architecture and ATPG for reducing pattern count in SoC designs with multiple clock domains.
Proceedings of the 2011 IEEE International Test Conference, 2010

Low capture power at-speed test in EDT environment.
Proceedings of the 2011 IEEE International Test Conference, 2010

Dynamic channel allocation for higher EDT compression in SoC designs.
Proceedings of the 2011 IEEE International Test Conference, 2010

2009
Low-Power Scan Operation in Test Compression Environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Test Generation for Designs with On-Chip Clock Generators.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
X-Press: Two-Stage X-Tolerant Compactor With Programmable Selector.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Low Power Scan Shift and Capture in the EDT Environment.
Proceedings of the 2008 IEEE International Test Conference, 2008

2007
X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis.
IEEE Des. Test Comput., 2007

Test Generation in the Presence of Timing Exceptions and Constraints.
Proceedings of the 44th Design Automation Conference, 2007

Test Generation for Timing-Critical Transition Faults.
Proceedings of the 16th Asian Test Symposium, 2007

2006
X-Press Compactor for 1000x Reduction of Test Data.
Proceedings of the 2006 IEEE International Test Conference, 2006

OCI: Open Compression Interface.
Proceedings of the 2006 IEEE International Test Conference, 2006

Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects.
Proceedings of the 15th Asian Test Symposium, 2006

At-Speed Testing with Timing Exceptions and Constraints-Case Studies.
Proceedings of the 15th Asian Test Symposium, 2006

2004
Embedded deterministic test.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Realizing High Test Quality Goals with Smart Test Resource Usage.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2003
Embedded Deterministic Test for Low-Cost Manufacturing.
IEEE Des. Test Comput., 2003

Industrial Experience with Adoption of EDT for Low-Cost Test without Concessions.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

2002
Embedded Deterministic Test for Low-Cost Manufacturing Test.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

1999
Logic BIST for large industrial designs: real issues and case studies.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
A Fast Sequential Learning Technique for Real Circuits with Application to Enhancing ATPG Performance.
Proceedings of the 35th Conference on Design Automation, 1998

1995
Hierarchical Functional-Fault Simulation for High-Level Synthesis.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

Software Accelerated Functional Fault Simulation for Data-Path Architectures.
Proceedings of the 32st Conference on Design Automation, 1995


  Loading...