Sarma B. K. Vrudhula

Orcid: 0000-0001-9278-2959

According to our database1, Sarma B. K. Vrudhula authored at least 183 papers between 1993 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Mixed-Signal Quantized Neural Network Accelerator Using Flash Transistors.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

HeteroSwitch: Characterizing and Taming System-Induced Data Heterogeneity in Federated Learning.
CoRR, 2024

ECO-CHIP: Estimation of Carbon Footprint of Chiplet-based Architectures for Sustainable VLSI.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local Clocking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Towards Sustainable Computing: Assessing the Carbon Footprint of Heterogeneous Systems.
CoRR, 2023

A Novel Pseudo-Flash Based Digital Low Dropout (LDO) Voltage Regulator.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

PARAG: PIM Architecture for Real-Time Acceleration of GCNs.
Proceedings of the 30th IEEE International Conference on High Performance Computing, 2023

2022
EdgeWise: Energy-efficient CNN Computation on Edge Devices under Stochastic Communication Delays.
ACM Trans. Embed. Comput. Syst., September, 2022

A Novel ASIC Design Flow Using Weight-Tunable Binary Neurons as Standard Cells.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Heterogeneous FPGA Architecture Using Threshold Logic Gates for Improved Area, Power, and Performance.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

CAMDNN: Content-Aware Mapping of a Network of Deep Neural Networks on Edge MPSoCs.
IEEE Trans. Computers, 2022

Tunable Precision Control for Approximate Image Filtering in an In-Memory Architecture with Embedded Neurons.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

A Flash-based Current-mode IC to Realize Quantized Neural Networks.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Energy-Efficient Mapping for a Network of DNN Models at the Edge.
Proceedings of the IEEE International Conference on Smart Computing, 2021

CIDAN: Computing in DRAM with Artificial Neurons.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
ELSA: A Throughput-Optimized Design of an LSTM Accelerator for Energy-Constrained Devices.
ACM Trans. Embed. Comput. Syst., 2020

Performance Modeling for CNN Inference Accelerators on FPGA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Automatic Compilation of Diverse CNNs Onto High-Performance FPGA Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Enabling Incremental Knowledge Transfer for Object Detection at the Edge.
CoRR, 2020

A Statistical Methodology for Post-Fabrication Weight Tuning in a Binary Perceptron.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

A Configurable BNN ASIC using a Network of Programmable Threshold Logic Standard Cells.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Enabling Incremental Knowledge Transfer for Object Detection at the Edge.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

2019
Optimizing User Satisfaction of Mobile Workloads Subject to Various Sources of Uncertainties.
IEEE Trans. Mob. Comput., 2019

Threshold Logic in a Flash.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Embedding Binary Perceptrons in FPGA to improve Area, Power and Performance.
Proceedings of the International Conference on Computer-Aided Design, 2019

An Energy-Efficient FPGA Implementation of an LSTM Network Using Approximate Computing.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

An Energy-Efficient Reconfigurable LSTM Accelerator for Natural Language Processing.
Proceedings of the 2019 IEEE International Conference on Big Data (IEEE BigData), 2019

2018
Design Considerations for Energy-Efficient and Variation-Tolerant Nonvolatile Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Optimizing the Convolution Operation to Accelerate Deep Neural Networks on FPGA.
IEEE Trans. Very Large Scale Integr. Syst., 2018

ALAMO: FPGA acceleration of deep learning algorithms with a modularized RTL compiler.
Integr., 2018

DORA: Optimizing Smartphone Energy Efficiency and Web Browser Performance under Interference.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018

Algorithm-hardware co-design of single shot detector for fast object detection on FPGAs.
Proceedings of the International Conference on Computer-Aided Design, 2018

FPGAs with Reconfigurable Threshold Logic Gates for Improved Performance, Power and Area.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

2017
Statistical library characterization using arbitrary polynomial chaos.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

End-to-end scalable FPGA accelerator for deep residual networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An automatic RTL compiler for high-throughput FPGA implementation of diverse deep convolutional neural networks.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

A Clock Skewing Strategy to Reduce Power and Area of ASIC Circuits.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Reducing Power, Leakage, and Area of Standard-Cell ASICs Using Threshold Logic Flip-Flops.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Efficient Enumeration of Unidirectional Cuts for Technology Mapping of Boolean Networks.
CoRR, 2016

Digital IP protection using threshold voltage control.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

High-performance face detection with CPU-FPGA acceleration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Demonstration of spike timing dependent plasticity in CBRAM devices with silicon neurons.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Improving smartphone user experience by balancing performance and energy with probabilistic QoS guarantee.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

Scalable and modularized RTL compilation of Convolutional Neural Networks onto FPGA.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

2015
Thermal aware floorplanning incorporating temperature dependent wire delay estimation.
Microprocess. Microsystems, 2015

A Nonvolatile Sense Amplifier Flip-Flop Using Programmable Metallization Cells.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

Parallel Architecture With Resistive Crosspoint Array for Dictionary Learning Acceleration.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

Fast and robust differential flipflops and their extension to multi-input threshold gates.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Design of threshold logic gates using emerging devices.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Energy-efficient reconstruction of compressively sensed bioelectrical signals with stochastic computing circuits.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Mitigating Effects of Non-ideal Synaptic Device Characteristics for On-chip Learning.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Technology-design co-optimization of resistive cross-point array for accelerating learning algorithms on chip.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Dynamic and leakage power reduction of ASICs using configurable threshold logic gates.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
Maximizing Quality of Coverage under Connectivity Constraints in Solar-Powered Active Wireless Sensor Networks.
ACM Trans. Sens. Networks, 2014

STEAM: A Smart Temperature and Energy Aware Multicore Controller.
ACM Trans. Embed. Comput. Syst., 2014

Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling.
IEEE Trans. Computers, 2014

Spintronic Threshold Logic Array (STLA) - A compact, low leakage, non-volatile gate array architecture.
J. Parallel Distributed Comput., 2014

The Stochastic Loss of Spikes in Spiking Neural P Systems: Design and Implementation of Reliable Arithmetic Circuits.
Fundam. Informaticae, 2014

Integration of threshold logic gates with RRAM devices for energy efficient and robust operation.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

A fast, energy efficient, field programmable threshold-logic array.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Branch-Aware Loop Mapping on CGRAs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Neurophysics-inspired parallel architecture with resistive crosspoint array for dictionary learning.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Parallel Programming of Resistive Cross-point Array for Synaptic Plasticity.
Proceedings of the 5th Annual International Conference on Biologically Inspired Cognitive Architectures, 2014

2013
REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs).
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Temperature-Aware DVFS for Hard Real-Time Applications on Multicore Processors.
IEEE Trans. Computers, 2012

Optimal range assignment in solar powered active wireless sensor networks.
Proceedings of the IEEE INFOCOM 2012, Orlando, FL, USA, March 25-30, 2012, 2012

Minimizing area and power of sequential CMOS circuits using threshold decomposition.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

EPIMap: using epimorphism to map applications on CGRAs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Identification of Threshold Functions and Synthesis of Threshold Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Temperature dependent wire delay estimation in floorplanning.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

Enabling Multithreading on CGRAs.
Proceedings of the International Conference on Parallel Processing, 2011

A new balanced 4-moduli set {2<i><sup>k</sup></i>, 2<i><sup>n</sup></i> - 1, 2<i><sup>n</sup></i> + 1, 2<i><sup>n+1</sup></i>-1} and its reverse converter design for efficient fir filter implementation.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Reliability-aware thermal management for hard real-time applications on multi-core processors.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Reducing Functional Unit Power Consumption and its Variation Using Leakage Sensors.
IEEE Trans. Very Large Scale Integr. Syst., 2010

A Low Power, High Performance Threshold Logic-Based Standard Cell Multiplier in 65 nm CMOS.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

2009
Maximizing the Lifetime of Embedded Systems Powered by Fuel Cell-Battery Hybrids.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Fast and Accurate Prediction of the Steady-State Throughput of Multicore Processors Under Thermal Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Performance optimal speed control of multi-core processors under thermal constraints.
Proceedings of the Design, Automation and Test in Europe, 2009

Throughput optimal task allocation under thermal constraints for multi-core processors.
Proceedings of the 46th Design Automation Conference, 2009

A scalable parallel H.264 decoder on the cell broadband engine architecture.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
A fuel-cell-battery hybrid for portable embedded systems.
ACM Trans. Design Autom. Electr. Syst., 2008

A Unified Approach for Full Chip Statistical Timing and Leakage Analysis of Nanoscale Circuits Considering Intradie Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Leakage Minimization of Digital Circuits Using Gate Sizing in the Presence of Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Multi-Attribute Optimization with Application to Leakage-Delay Trade-Offs Using Utility Theory.
J. Low Power Electron., 2008

Scalable model for predicting the effect of negative bias temperature instability for reliable design.
IET Circuits Devices Syst., 2008

Temperature and Process Variations Aware Power Gating of Functional Units.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Power Reduction of Functional Units Considering Temperature and Process Variations.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

A Low-Power Double-Edge-Triggered Address Pointer Circuit for FIFO Memory Design.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

A Methodology for Characterization of Large Macro Cells and IP Blocks Considering Process Variations.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Analytical results for design space exploration of multi-core processors employing thread migration.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Current source based standard cell model for accurate signal integrity and timing analysis.
Proceedings of the Design, Automation and Test in Europe, 2008

Statistical waveform and current source based standard cell models for accurate timing analysis.
Proceedings of the 45th Design Automation Conference, 2008

Threshold Logic Gene Regulatory Model - Prediction of Dorsal-ventral Patterning and Hardware-based Simulation of Drosophila.
Proceedings of the First International Conference on Biomedical Electronics and Devices, 2008

Decomposition based approach for synthesis of multi-level threshold logic circuits.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Energy optimal speed control of a producer-consumer device pair.
ACM Trans. Embed. Comput. Syst., 2007

Multiple-Valued Logic Circuits Design Using Negative Differential Resistance Devices.
J. Multiple Valued Log. Soft Comput., 2007

Analysis of Power Supply Noise in the Presence of Process Variations.
IEEE Des. Test Comput., 2007

Tutorial T6: Robust Design of Nanoscale Circuits in the Presence of Process Variations.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Computation of Joint Timing Yield of Sequential Networks Considering Process Variations.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

Throughput of multi-core processors under thermal constraints.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Combinational equivalence checking for threshold logic circuits.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Synthesis of threshold logic circuits using tree matching.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

The Impact of NBTI on the Performance of Combinational and Sequential Circuits.
Proceedings of the 44th Design Automation Conference, 2007

Performance optimal processor throttling under thermal constraints.
Proceedings of the 2007 International Conference on Compilers, 2007

2006
Joint Optimization of Transmit Power-Time and Bit Energy Efficiency in CDMA Wireless Sensor Networks.
IEEE Trans. Wirel. Commun., 2006

Hermite Polynomial Based Interconnect Analysis in the Presence of Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Energy-Optimal Speed Control of a Generic Device.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Efficient Symbolic Algorithms for Computing the Minimum and Bounded Leakage States.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Statistical Leakage Minimization of Digital Circuits Using Gate Sizing, Gate Length Biasing, Threshold Voltage Selection.
J. Low Power Electron., 2006

Variational Interconnect Delay Metrics for Statistical Timing Analysis.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

LOTUS: Leakage Optimization under Timing Uncertainty for Standard-cell designs.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

Maximizing the lifetime of embedded systems powered by fuel cell-battery hybrids.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

An optimal analytical solution for processor speed control with thermal constraints.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

A framework for statistical timing analysis using non-linear delay and slew models.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Extending the lifetime of fuel cell based hybrid systems.
Proceedings of the 43rd Design Automation Conference, 2006

Stochastic variational analysis of large power grids considering intra-die correlations.
Proceedings of the 43rd Design Automation Conference, 2006

High-level power management of embedded systems with application-specific energy cost functions.
Proceedings of the 43rd Design Automation Conference, 2006

Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits.
Proceedings of the 43rd Design Automation Conference, 2006

Predictive Modeling of the NBTI Effect for Reliable Design.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Probability distribution of signal arrival times using Bayesian networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Power balanced coverage-time optimization for clustered wireless sensor networks.
Proceedings of the 6th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, 2005

Battery optimization vs energy optimization: which to choose and when?
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Formalizing designer's preferences for multiattribute optimization with application to leakage-delay tradeoffs.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Automatic Design of Binary and Multiple-Valued Logic Gates on RTD Series.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

Stochastic Power Grid Analysis Considering Process Variations.
Proceedings of the 2005 Design, 2005

Energy optimal speed control of devices with discrete speed sets.
Proceedings of the 42nd Design Automation Conference, 2005

Leakage minimization of nano-scale circuits in the presence of systematic and random variations.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Energy Profiler for Hardware/Software Co-Design.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Efficient Algorithms for Identifying the Minimum Leakage States in CMOS Combinational Logic.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Stochastic analysis of interconnect performance in the presence of process variations.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Energy optimization for a two-device data flow chain.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

A Framework for Battery-Aware Sensor Management.
Proceedings of the 2004 Design, 2004

A methodology to improve timing yield in the presence of process variations.
Proceedings of the 41th Design Automation Conference, 2004

Implicit pseudo boolean enumeration algorithms for input vector control.
Proceedings of the 41th Design Automation Conference, 2004

Variational delay metrics for interconnect timing analysis.
Proceedings of the 41th Design Automation Conference, 2004

Disk drive energy optimization for audio-video applications.
Proceedings of the 2004 International Conference on Compilers, 2004

2003
A model for battery lifetime analysis for organizing applications on a pocket computer.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Energy management for battery-powered embedded systems.
ACM Trans. Embed. Comput. Syst., 2003

Probabilistic analysis of interconnect coupling noise.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Battery Modeling for Energy-Aware System Design.
Computer, 2003

Analysis of discharge techniques for multiple battery systems.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

AU: Timing Analysis Under Uncertainty.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Statistical Timing Analysis Using Bounds.
Proceedings of the 2003 Design, 2003

Computation and Refinement of Statistical Bounds on Circuit Delay.
Proceedings of the 40th Design Automation Conference, 2003

2002
Behavioral synthesis of field programmable analog array circuits.
ACM Trans. Design Autom. Electr. Syst., 2002

Algorithms for minimizing standby power in deep submicrometer, dual-V<sub>t</sub> CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Statistical timing analysis using bounds and selective enumeration.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002

Battery lifetime prediction for energy-aware computing.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Estimation of signal arrival times in the presence of delay noise.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Estimation of the likelihood of capacitive coupling noise.
Proceedings of the 39th Design Automation Conference, 2002

Battery-conscious task sequencing for portable devices including voltage/clock scaling.
Proceedings of the 39th Design Automation Conference, 2002

Hardware-software bipartitioning for dynamically reconfigurable systems.
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002

2001
Time-to-failure estimation for batteries in portable electronic systems.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Minimizing routing configuration cost in dynamically reconfigurable FPGAs.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001

An Analytical High-Level Battery Model for Use in Energy Management of Portable Electronic Systems.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

2000
Adaptive Multiuser Online Reconfigurable Engine.
IEEE Des. Test Comput., 2000

1999
Power reduction and power-delay trade-offs using logic transformations.
ACM Trans. Design Autom. Electr. Syst., 1999

An Investigation of Power Delay Tradeoffs for Dual Vt CMOS Circuits.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
A Technique for Estimating Signal Activity in Logic Circuits.
Integr. Comput. Aided Eng., 1998

On short circuit power estimation of CMOS inverters.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Static power optimization of deep submicron CMOS circuits for dual VT technology.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Data Driven Power Optimization of Sequential Circuits.
Proceedings of the 1998 Design, 1998

1997
Rapid prototyping of networks of asynchronous multiple functional units.
Proceedings of the Proceedings 8th IEEE International Workshop on Rapid System Prototyping: Shortening the Path from Specification to Prototype, 1997

Macro-instruction generation for dynamic logic caching.
Proceedings of the Proceedings 8th IEEE International Workshop on Rapid System Prototyping: Shortening the Path from Specification to Prototype, 1997

An Investigation of Power Delay Trade-Offs on PowerPC Circuits.
Proceedings of the 34st Conference on Design Automation, 1997

1996
Formal Verification Using Edge-Valued Binary Decision Diagrams.
IEEE Trans. Computers, 1996

Multi-level logic optimization for low power using local logic transformations.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

1995
Fault Coverage and Test Length Estimation for Random Pattern Testing.
IEEE Trans. Computers, 1995

1994
EVBDD-based algorithms for integer linear programming, spectral transformation, and function decomposition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Interval graph algorithms for two-dimensional multiple folding of array-based VLSI layouts.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Techniques for estimating test length under random test.
J. Electron. Test., 1994

1993
A design of a fast and area efficient multi-input Muller C-element.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Analysis of signal probability in logic circuits using stochastic models.
IEEE Trans. Very Large Scale Integr. Syst., 1993

FGILP: an integer linear program solver based on function graphs.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis.
Proceedings of the 30th Design Automation Conference. Dallas, 1993


  Loading...