Görschwin Fey

Orcid: 0000-0001-6433-6265

Affiliations:
  • Hamburg University of Technology (TUHH), Institute of Embedded Systems, Germany
  • University of Bremen, Institute of Computer Science, Germany
  • German Aerospace Center (DLR), Bremen, Germany


According to our database1, Görschwin Fey authored at least 175 papers between 2002 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
A Review of Techniques for Ageing Detection and Monitoring on Embedded Systems.
CoRR, 2023

GLRP: Guided by Layer-wise Relevance Propagation - Selecting Crucial Neurons in Artificial Neural Networks.
Proceedings of the 12th International Conference on Modern Circuits and Systems Technologies, 2023

Enhancing Data Acquisition and Fault Analysis for Large-Scale Facilities: A Case Study on the Laser-Based Synchronization System at the European X-Ray Free-Electron Laser.
Proceedings of the Lernen, 2023

Informatik für Ingenieure an der Technischen Universität Hamburg.
Proceedings of the 53. Jahrestagung der Gesellschaft für Informatik, INFORMATIK 2023, Designing Future, 2023

FINaL: Driving High-Level Fault Injection Campaigns with Natural Language.
Proceedings of the IEEE European Test Symposium, 2023

Towards the Automatic Generation of Models for Prediction, Monitoring, and Testing of Cyber-Physical Systems.
Proceedings of the 28th IEEE International Conference on Emerging Technologies and Factory Automation, 2023

Data-Based Condition Monitoring and Disturbance Classification in Actively Controlled Laser Oscillators.
Proceedings of the Information Modelling and Knowledge Bases XXXV, 2023

Ageing Analysis of Embedded SRAM on a Large-Scale Testbed Using Machine Learning.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

Latency-Optimized Hardware Acceleration of Multilayer Perceptron Inference.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

Data-Driven Test Generation for Black-Box Systems From Learned Decision Tree Models.
Proceedings of the 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2023

DEL: Dynamic Symbolic Execution-based Lifter for Enhanced Low-Level Intermediate Representation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Predictive Maintenance for the Optical Synchronization System of the European XFEL: A Systematic Literature Survey.
Proceedings of the Datenbanksysteme für Business, 2023

2022
CNN Implementation and Analysis on Xilinx Versal ACAP at European XFEL.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Runtime Monitoring of c-LTL Specifications on FPGAs Using HLS.
Proceedings of the 18th International Conference on Synthesis, 2022

Self-Explanation in Systems of Systems.
Proceedings of the 30th IEEE International Requirements Engineering Conference Workshops, 2022

Design Understanding: Identifying Instruction Pipelines in Hardware Designs.
Proceedings of the 11th International Conference on Modern Circuits and Systems Technologies, 2022

Decision Trees for Analyzing Influences on the Accuracy of Indoor Localization Systems.
Proceedings of the 12th IEEE International Conference on Indoor Positioning and Indoor Navigation, 2022

Decision Tree Models of Continuous Systems.
Proceedings of the 27th IEEE International Conference on Emerging Technologies and Factory Automation, 2022

On the Viability of Decision Trees for Learning Models of Systems.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Regression Trees for System Models and Prediction.
Proceedings of the Short Paper Proceedings of the 4th Workshop on Artificial Intelligence and Formal Verification, 2022

2021
Automata Learning for Automated Test Generation of Real Time Localization Systems.
CoRR, 2021

Effect Analysis of Low-Level Hardware Faults on Neural Networks using Emulated Inference.
Proceedings of the 10th International Conference on Modern Circuits and Systems Technologies, 2021

YAPS - Your Open Examination System for Activating and emPowering Students.
Proceedings of the 16th International Conference on Computer Science & Education, 2021

Designing Recurrent Neural Networks for Monitoring Embedded Devices.
Proceedings of the 26th IEEE European Test Symposium, 2021

Metrics for the Evaluation of Approximate Sequential Streaming Circuits.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

Comparative Evaluation of Semi-Supervised Anomaly Detection Algorithms on High-Integrity Digital Systems.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

Learning Models of Cyber-Physical Systems using Automata Learning.
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021

Fault Analysis of the Beam Acceleration Control System at the European XFEL using Data Mining.
Proceedings of the 30th IEEE Asian Test Symposium, 2021

2020
Revisiting Explicit Enumeration for Exact Synthesis.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

2019
Synthesizing adaptive test strategies from temporal logic specifications.
Formal Methods Syst. Des., 2019

Engineering of an Effective Automatic Dynamic Assertion Mining Platform.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Self-Explaining Digital Systems - Some Technical Steps.
Proceedings of the 22nd Workshop Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2019

Approximation of Neural Networks for Verification.
Proceedings of the 22nd Workshop Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2019

Syntax-Guided Enumeration of Temporal Properties.
Proceedings of the 2019 Forum for Specification and Design Languages, 2019

Symbolic Circuit Analysis under an Arc Based Timing Model.
Proceedings of the 24th IEEE European Test Symposium, 2019

Local Monitoring of Embedded Applications and Devices using Artificial Neural Networks.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

2018
Design Understanding: From Logic to Specification<sup>*</sup>.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Towards Self-Explaining Digital Systems: A Design Methodology for the Next Generation.
Proceedings of the 3rd IEEE International Verification and Security Workshop, 2018

Mining Latency Guarantees for RTL Designs.
Proceedings of the 48th IEEE International Symposium on Multiple-Valued Logic, 2018

Augmenting All Solution SAT Solving for Circuits with Structural Information.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

Software-Level TMR Approach for On-Board Data Processing in Space Applications.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

2017
metaSMT: focus on your application and not on solver integration.
Int. J. Softw. Tools Technol. Transf., 2017

Empirical results on parity-based soft error detection with software-based retry.
Microprocess. Microsystems, 2017

A High-Level Approach to Analyze the Effects of Soft Errors on Lossless Compression Algorithms.
J. Electron. Test., 2017

Counterexample-Guided EF Synthesis of Boolean Functions.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2017

Towards Making Fault Injection on Abstract Models a More Accurate Tool for Predicting RT-Level Effects.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Temporal redundancy latch-based architecture for soft error mitigation.
Proceedings of the 23rd IEEE International Symposium on On-Line Testing and Robust System Design, 2017

Mapping abstract and concrete hardware models for design understanding.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

CEGAR-based EF synthesis of Boolean functions with an application to circuit rectification.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Property mining using dynamic dependency graphs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Debugging hardware designs using dynamic dependency graphs.
Microprocess. Microsystems, 2016

WCET overapproximation for software in the context of a Cyber-Physical System.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Umgebung für automatisierte Tests von Dateisystemen auf NAND-Flash-Speichern.
Proceedings of the Internet der Dinge, 2016

Analysis of the effects of soft errors on compression algorithms through fault injection inside program variables.
Proceedings of the 17th Latin-American Test Symposium, 2016

Counterexample-guided diagnosis.
Proceedings of the 1st IEEE International Verification and Security Workshop, 2016

A Hybrid Algorithm to Conservatively Check the Robustness of Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

On the robustness of DCT-based compression algorithms for space applications.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

Exact diagnosis using boolean satisfiability.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Multilevel design understanding: from specification to logic (invited paper).
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Equivalence checking on ESL utilizing a priori knowledge.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

Designing reliable cyber-physical systems overview associated to the special session at FDL'16.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

A hybrid algorithm to conservatively check the robustness of circuits.
Proceedings of the 21th IEEE European Test Symposium, 2016

Exploiting error detection latency for parity-based soft error detection.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

SMT-Based CPS Parameter Synthesis.
Proceedings of the ARCH@CPSWeek 2016, 2016

2015
Transaction-based online debug for NoC-based multiprocessor SoCs.
Microprocess. Microsystems, 2015

Path-Based Program Repair.
Proceedings of the Proceedings 12th International Workshop on Formal Engineering approaches to Software Components and Architectures, 2015

Formal Verification of Robustness.
Proceedings of the Formal Modeling and Verification of Cyber-Physical Systems, 2015

In-circuit Error Detection with Software-based Error Correction - An Alternative to TMR.
Proceedings of the Formal Modeling and Verification of Cyber-Physical Systems, 2015

Execution Tracing of C Code for Formal Analysis (Extended Abstract).
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2015

Conservatively Analyzing Transient Faults.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Parity-based Soft Error Detection with Software-based Retry vs. Triplication-based Soft Error Correction - An Analytical Comparison on a Flash-based FPGA Architecture.
Proceedings of the 45. Jahrestagung der Gesellschaft für Informatik, Informatik, Energie und Umwelt, INFORMATIK 2015, Cottbus, Germany, September 28, 2015

Equivalence Checking on System Level Using a Priori Knowledge.
Proceedings of the 18th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2015

Diagnostic Tests and Diagnosis for Delay Faults Using Path Segmentation.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
A Simulation-Based Approach for Automated Feature Localization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Latency Analysis for Sequential Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Debug Automation for Synchronization Bugs at RTL.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Mutation Based Feature Localization.
Proceedings of the 15th International Microprocessor Test and Verification Workshop, 2014

Equivalence Checking on System Level using Stepwise Induction.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2014

A Logic for Cardinality Constraints (Extended Abstract).
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2014

SAT-based speedpath debugging using X traces.
Proceedings of the 9th International Design and Test Symposium, 2014

MetaSMT: a unified interface to SMT-LIB2.
Proceedings of the 2014 Forum on Specification and Design Languages, 2014

Sat-based speedpath debugging using waveforms.
Proceedings of the 19th IEEE European Test Symposium, 2014

Automatically connecting hardware blocks via light-weight matching techniques.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Automated design debugging in a testbench-based verification environment.
Microprocess. Microsystems, 2013

Enhanced Formal Verification Flow for Circuits Integrating Debugging and Coverage Analysis.
Electron. Commun. Eur. Assoc. Softw. Sci. Technol., 2013

Debug Automation for Logic Circuits Under Timing Variations.
IEEE Des. Test, 2013

Yet a Better Error Explanation Algorithm (Extended Abstract).
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2013

Debugging HDL designs based on functional equivalences with high-level specifications.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

Efficient automated speedpath debugging.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

Improving fault tolerance utilizing hardware-software-co-synthesis.
Proceedings of the Design, Automation and Test in Europe, 2013

Tuning dynamic data flow analysis to support design understanding.
Proceedings of the Design, Automation and Test in Europe, 2013

Reliability analysis reloaded: how will we survive?
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Verifying Reliability (Dagstuhl Seminar 12341).
Dagstuhl Reports, 2012

FAuST: A Framework for Formal Verification, Automated Debugging, and Software Test Generation.
Proceedings of the Model Checking Software - 19th International Workshop, 2012

Model-based diagnosis versus error explanation.
Proceedings of the Tenth ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2012

Automated Feature Localization for Hardware Designs using Coverage Metrics.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2012

FoREnSiC- An Automatic Debugging Environment for C Programs.
Proceedings of the Hardware and Software: Verification and Testing, 2012

Complete and effective robustness checking by means of interpolation.
Proceedings of the Formal Methods in Computer-Aided Design, 2012

Functional analysis of circuits under timing variations.
Proceedings of the 17th IEEE European Test Symposium, 2012

On Modeling and Evaluation of Logic Circuits under Timing Variations.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

Automated debugging from pre-silicon to post-silicon.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

Automated Post-Silicon Debugging of Failing Speedpaths.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Effective Robustness Analysis Using Bounded Model Checking Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Assessing System Vulnerability Using Formal Verification Techniques.
Proceedings of the Mathematical and Engineering Methods in Computer Science, 2011

Towards Automatic Property Generation for the Formal Verification of Bus Bridges.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2011

Test Case Generation from Mutants Using Model Checking Techniques.
Proceedings of the Fourth IEEE International Conference on Software Testing, 2011

metaSMT: Focus on Your Application not on Solver Integration.
Proceedings of the First International Workshop on Design and Implementation of Formal Tools and Systems, 2011

Automatic property generation for the formal verification of bus bridges.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Orchestrated multi-level information flow analysis to understand SoCs.
Proceedings of the 48th Design Automation Conference, 2011

2010
Automatische formale Verifikation der Fehlertoleranz von Schaltkreisen (Automated Formal Verification of Fault Tolerance for Circuits).
it Inf. Technol., 2010

MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics.
J. Electron. Test., 2010

Towards Unifying Localization and Explanation for Automated Debugging.
Proceedings of the 11th International Workshop on Microprocessor Test and Verification, 2010

Using QBF to increase accuracy of SAT-based debugging.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Polynomial datapath optimization using constraint solving and formal modelling.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Evaluating Debugging Algorithms from a Qualitative Perspective.
Proceedings of the 2010 Forum on specification & Design Languages, 2010

RobuCheck: A Robustness Checker for Digital Circuits.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

A better-than-worst-case robustness measure.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Formal verification meets robustness checking - Techniques and challenges.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

2009
Effiziente Erfüllbarkeitsalgorithmen für die Generierung von Testmustern (Efficient Satisfiability Solving Algorithms for Test Pattern Generation).
it Inf. Technol., 2009

Advanced verification by automatic property generation.
IET Comput. Digit. Tech., 2009

WoLFram- A Word Level Framework for Formal Verification.
Proceedings of the Twentienth IEEE/IFIP International Symposium on Rapid System Prototyping, 2009

Evaluation of Cardinality Constraints on SMT-Based Debugging.
Proceedings of the ISMVL 2009, 2009

SAT-based ATPG testing of inter- and intra-gate bridging faults.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

Robustness Check for Multiple Faults Using Formal Techniques.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Increasing the accuracy of SAT-based debugging.
Proceedings of the Design, Automation and Test in Europe, 2009

Computing bounds for fault tolerance using formal techniques.
Proceedings of the 46th Design Automation Conference, 2009

Deterministic Algorithms for ATPG under Leakage Constraints.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Test Pattern Generation using Boolean Proof Engines.
Springer, ISBN: 978-90-481-2359-9, 2009

2008
Automatic Fault Localization for Property Checking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

On Acceleration of SAT-Based ATPG for Industrial Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

On the construction of small fully testable circuits with low depth.
Microprocess. Microsystems, 2008

Debugging Design Errors by Using Unsatisfiable Cores.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2008

A Basis for Formal Robustness Checking.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Using unsatisfiable cores to debug multiple design errors.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Identifying a Subset of System Verilog Assertions for Efficient Bounded Model Checking.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

Automatic Generation of Complex Properties for Hardware Designs.
Proceedings of the Design, Automation and Test in Europe, 2008

SAT-based Automatic Test Pattern Generation.
Proceedings of the Evolutionary Test Generation, 24.08. - 29.08.2008, 2008

Targeting Leakage Constraints during ATPG.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Robustness and usability in modern design flows.
Springer, ISBN: 978-1-4020-6535-4, 2008

2007
Reusing Learned Information in SAT-based ATPG.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

SWORD: A SAT like prover using word level information.
Proceedings of the IFIP VLSI-SoC 2007, 2007

Combining Multi-Valued Logics in SAT-based ATPG for Path Delay Faults.
Proceedings of the 5th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2007), May 30, 2007

Verbesserte SAT basierte Fehlerdiagnose durch Widerspruchanalyse.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2007

Formal Verification on the Word Level using SAT-like Proof Techniques.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2007

Experimental Studies on SAT-Based ATPG for Gate Delay Faults.
Proceedings of the 37th International Symposium on Multiple-Valued Logic, 2007

SAT-based ATPG for Path Delay Faults in Sequential Circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Instance Generation for SAT-based ATPG.
Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), 2007

2006
Minimizing the number of paths in BDDs: Theory and algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

An Integrated Approach for Combining BDD and SAT Provers.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Automatic Test Pattern Generation.
Proceedings of the Formal Methods for Hardware Verification, 2006

SAT-based Calculation of Source Code Coverage for BMC.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2006

Efficiency of Multi-Valued Encoding in SAT-based ATPG.
Proceedings of the 36th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2006), 2006

Increasing robustness and usability of circuit design tools by using formal techniques.
Proceedings of the Ausgezeichnete Informatikdissertationen 2006, 2006

On the relation between simulation-based and SAT-based diagnosis.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Avoiding false negatives in formal verification for protocol-driven blocks.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Increasing robustness and usability of circuit design tools by using formal techniques.
PhD thesis, 2006

2005
SyCE: An Integrated Environment for System Design in SystemC.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

Controlling the Memory During Manipulation of Word-Level Decision Diagrams.
Proceedings of the 35th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2005), 2005

Utilizing don't care states in SAT-based bounded sequential problems.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Bridging fault testability of BDD circuits.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Advanced BDD optimization.
Springer, ISBN: 978-0-387-25453-1, 2005

2004
Synthesis of fully testable circuits from BDDs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Algorithms for Taylor Expansion Diagrams.
Proceedings of the 34th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2004), 2004

Disjoint Sum of Product Minimization by Evolutionary Algorithms.
Proceedings of the Applications of Evolutionary Computing, 2004

BDD Circuit Optimization for Path Delay Fault Testability.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

Cost-Efficient Block Verification for a UMTS Up-Link Chip-Rate Coprocessor.
Proceedings of the 2004 Design, 2004

Improving simulation-based verification by means of formal methods.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Finding Good Counter-Examples to Aid Design Verification.
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003

Cost-efficient Formal Block Verification for ASIC Design.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2003

Modeling Multi-Valued Circuits in SystemC.
Proceedings of the 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 2003

Using Games for Benchmarking and Representing the Complete Solution Space using Symbolic Techniques.
Proceedings of the 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 2003

MuTaTe: an efficient design for testability technique for multiplexor based circuits.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

BDD Based Synthesis of Symmetric Functions with Full Path-Delay Fault Testability.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
Minimizing the Number of Paths in BDDs.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002


  Loading...