David W. Boerstler

According to our database1, David W. Boerstler authored at least 15 papers between 1992 and 2015.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2015
The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking.
IEEE J. Solid State Circuits, 2015

2014
5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8<sup>TM</sup> microprocessor.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
True hardware random number generation implemented in the 32-nm SOI POWER7+ processor.
IBM J. Res. Dev., 2013

On-chip circuit for measuring multi-GHz clock signal waveforms.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2007
A 1V 18GHz Clock Generator in a 65nm PD-SOI Technology.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Implementation of the 65nm Cell Broadband Engine.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

2006
Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor.
IEEE J. Solid State Circuits, 2006

A Circuit for Reducing Large Transient Current Effects on Processor Power Grids.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A new test and characterization scheme for 10+ GHz low jitter wide band PLL.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2001
A clock distribution network for microprocessors.
IEEE J. Solid State Circuits, 2001

2000
"Timing closure by design, " a high frequency microprocessor design methodology.
Proceedings of the 37th Conference on Design Automation, 2000

1999
A low-jitter PLL clock generator for microprocessors with lock range of 340-612 MHz.
IEEE J. Solid State Circuits, 1999

1998
A 1.0-GHz single-issue 64-bit powerPC integer processor.
IEEE J. Solid State Circuits, 1998

Design methodology for a 1.0 GHz microprocessor.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

1992
IBM Enterprise Systems multimode fiber optic technology.
IBM J. Res. Dev., 1992


  Loading...