Jung-Hoon Chun

Orcid: 0000-0002-2668-6739

According to our database1, Jung-Hoon Chun authored at least 68 papers between 2006 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
6.11 A 320x240 CMOS LiDAR Sensor with 6-Transistor nMOS-Only SPAD Analog Front-End and Area-Efficient Priority Histogram Memory.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

6.7 A 160×120 Flash LiDAR Sensor with Fully Analog-Assisted In- Pixel Histogramming TDC Based on Self-Referenced SAR ADC.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Crystal-Less Clock Generator for Low-Power and Low-Cost Sensor Transceivers with 12.9MHz-to-3.3GHz Range, 16.67ppm/°C Inaccuracy from -25°C to 85°C, and 0.25us Settle-Time.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
A Low-Power Indirect Time-of-Flight CMOS Image Sensor With Fixed Depth Noise Compensation and Dual-Mode Imaging for Depth Dynamic Range Enhancement.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

An 80 × 60 Flash LiDAR Sensor With In-Pixel Delta-Intensity Quaternary Search Histogramming TDC.
IEEE J. Solid State Circuits, 2022

An 18.24-Gb/s, 0.93-pJ/bit Receiver With an Input-Level-Sensing CDR Using Clock-Embedded C-PHY Signaling Over Trio Wires.
IEEE J. Solid State Circuits, 2022

A 21-Gb/s Duobinary Transceiver for GDDR Interfaces With an Adaptive Equalizer.
IEEE J. Solid State Circuits, 2022

A 2.03-mW CMOS Image Sensor With an Integrated Four-Stacked Charge-Recycling Driver for Image Signal Transmission.
IEEE Access, 2022

A 16/32 Gb/s Dual-Mode NRZ/PAM4 Voltage-Mode Transmitter With 2-Tap FFE.
IEEE Access, 2022

A 640×480 Indirect Time-of-Flight Image Sensor with Tetra Pixel Architecture for Tap Mismatch Calibration and Motion Artifact Suppression.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 100×80 CMOS Flash LiDAR Sensor with 0.0011mm<sup>2</sup> In-Pixel Histogramming TDC Based on Analog Counter and Self-Calibrated Single-Slope ADC.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

An 80×60 Flash LiDAR Sensor with In-Pixel Histogramming TDC Based on Quaternary Search and Time-Gated Δ-Intensity Phase Detection for 45m Detectable Range and Background Light Cancellation.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

STT-MRAM Read and Write Circuit for High Reliability and Power Efficiency.
Proceedings of the 19th International SoC Design Conference, 2022

2021
Taming identical particles for discerning the genuine non-locality.
Quantum Inf. Process., 2021

Direct TOF Scanning LiDAR Sensor With Two-Step Multievent Histogramming TDC and Embedded Interference Filter.
IEEE J. Solid State Circuits, 2021

An 8-bit Ring-Amplifier Based Mixed-Signal MAC Circuit With Full Digital Interface and Variable Accumulation Length.
IEEE Access, 2021

A CMOS LiDAR Sensor with Pre-Post Weighted-Histogramming for Sunlight Immunity Over 105 klx and SPAD-based Infinite Interference Canceling.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

7.2 A 48 ×4013.5 mm Depth Resolution Flash LiDAR Sensor with In-Pixel Zoom Histogramming Time-to-Digital Converter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 30-Gb/s PAM-8 Transmitter with a 2-Tap Feed-Forward Equalizer and Background Clock Calibration.
Proceedings of the 18th International SoC Design Conference, 2021

A 70mW Indirect Time-of-Flight Image Sensor with Depth Dynamic Range Enhancement and Fixed Depth Noise Compensation.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

A 21Gb/s Duobinary Transceiver for GDDR interfaces with an Adaptive Equalizer.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

2020
Fully Differential Touch Screen Controller with Wide Input Dynamic Range for Thin Displays.
Sensors, 2020

Indirect Time-of-Flight CMOS Image Sensor With On-Chip Background Light Cancelling and Pseudo-Four-Tap/Two-Tap Hybrid Imaging for Motion Artifact Suppression.
IEEE J. Solid State Circuits, 2020

A 4-GHz Sub-Harmonically Injection-Locked Phase-Locked Loop With Self-Calibrated Injection Timing and Pulsewidth.
IEEE J. Solid State Circuits, 2020

A 36-Channel SPAD-Integrated Scanning LiDAR Sensor with Multi-Event Histogramming TDC and Embedded Interference Filter.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

5.4 A Dynamic Pseudo 4-Tap CMOS Time-of-Flight Image Sensor with Motion Artifact Suppression and Background Light Cancelling Over 120klux.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

An Effective Transconductance Controlled Offset Calibration for Dynamic Comparators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Dual-Mode Ground-Referenced Signaling Transceiver with a 3-Tap Feed-Forward Equalizer for Memory Interfaces.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

A Jitter-Tolerant Referenceless Digital-CDR for Cellular Transceivers.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2020

2019
Design and Analysis of a 12-b Current-Steering DAC in a 14-nm FinFET Technology for 2G/3G/4G Cellular Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 4-GHz Sub-harmonically Injection-Locked Phase-Locked Loop with Self-Calibrated Injection Timing and Pulsewidth.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A 12.5-Gb/s Near-Ground Transceiver Employing a MaxEye Algorithm-Based Adaptation Technique.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Mutual Capacitive Sensing Touch Screen Controller for Ultrathin Display with Extended Signal Passband Using Negative Capacitance.
Sensors, 2018

A 12-Gb/s Stacked Dual-Channel Interface for CMOS Image Sensor Systems.
Sensors, 2018

A 1.08-nW/kHz 13.2-ppm/°C Self-Biased Timer Using Temperature-Insensitive Resistive Current.
IEEE J. Solid State Circuits, 2018

A 0.75-3.0-Gb/s Dual-Mode Temperature-Tolerant Referenceless CDR With a Deadzone-Compensated Frequency Detector.
IEEE J. Solid State Circuits, 2018

A 21-Gb/s Dual-Channel Voltage-Mode Transmitter With Stacked NRZ and PAM4 Drivers.
IEEE Access, 2018

2017
A 7-MHz Integrated Peak-Current-Mode Buck Regulator With a Charge-Recycling Technique.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

A Method for Enhancing the Sensing Distance of a Fingerprint Sensor.
Sensors, 2017

A 17.5-Gb/s transceiver with a MaxEye-based autonomous adaptation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
A 12-Gb/s dual-channel transceiver for CMOS image sensor systems.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
Application of weighing matrices to simultaneous driving technique for capacitive touch sensors.
IEEE Trans. Consumer Electron., 2015

Application of 4k-order Hadamard matrices to simultaneous driving capacitive touch systems.
Proceedings of the IEEE International Conference on Consumer Electronics, 2015

A digital DLL with 4-cycle lock time and 1/4 NAND-delay accuracy.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

2014
A 5.2-Gb/s Low-Swing Voltage-Mode Transmitter With an AC-/DC-Coupled Equalizer and a Voltage Offset Generator.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A 6.4-Gb/s Voltage-Mode Near-Ground Receiver With a One-Tap Data and Edge DFE.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

A 12.5-Gb/s near-GND transceiver for wire-line UHD video interfaces.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A fast response integrated current-sensing circuit for peak-current-mode buck regulator.
Proceedings of the ESSCIRC 2014, 2014

2013
Power-Efficient Fast Write and Hidden Refresh of ReRAM Using an ADC-Based Sense Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

An all-digital PLL with supply insensitive digitally controlled oscillator.
IEICE Electron. Express, 2013

A fully digital clock and data recovery with fast frequency offset acquisition technique for MIPI LLI applications.
IEICE Electron. Express, 2013

A tracked oversampling digital data recovery for Low Latency, fast acquisition, and high jitter tolerance.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

2012
A Dual Charge Pump for Quiescent Touch Sensor Power Supply.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

0.37mW/Gb/s low power SLVS transmitter for battery powered applications.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A low-power two-line inversion method for driving LCD panels.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A low-power dual-PFD phase-rotating PLL with a PFD controller for 5Gb/s serial links.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

A 3.0 Gb/s clock data recovery circuits based on digital DLL for clock-embedded display interface.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
A Digitally Controlled Oscillator With Wide Frequency Range and Low Supply Sensitivity.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Gate-controlled field-effect diodes and silicon-controlled rectifier for charged-device model ESD protection in advanced SOI technology.
Microelectron. Reliab., 2011

A study on accelerated built-in self test of multi-Gb/s high speed interfaces.
Proceedings of the 2nd IEEE International Conference on Networked Embedded Systems for Enterprise Applications, 2011

A 4.8Gb/s impedance-matched bidirectional multi-drop transceiver for high-capacity memory interface.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2010
ESD Design Strategies for High-Speed Digital and RF Circuits in Deeply Scaled Silicon Technologies.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

A Power-Efficient Voltage Upconverter for Embedded EEPROM Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

A low power CMOS compatible embedded EEPROM for passive RFID tag.
Microelectron. J., 2010

2009
A 16 Gb/s/Link, 64 GB/s Bidirectional Asymmetric Memory Interface.
IEEE J. Solid State Circuits, 2009

2008
Clocking circuits for a 16Gb/s memory interface.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
RF ESD protection strategies: Codesign vs. low-C protection.
Microelectron. Reliab., 2007

2006
Analysis and Measurement of Signal Distortion due to ESD Protection Circuits.
IEEE J. Solid State Circuits, 2006


  Loading...