Michael A. Kochte

Orcid: 0000-0002-1228-3402

Affiliations:
  • University of Stuttgart, Institute of Computer Architecture and Computer Engineering, Germany


According to our database1, Michael A. Kochte authored at least 74 papers between 2008 and 2020.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Synthesis of Fault-Tolerant Reconfigurable Scan Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
On-Chip Self-Test Methodology With All Deterministic Compressed Test Patterns Recorded in Scan Chains.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Built-In Test for Hidden Delay Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses.
Proceedings of the IEEE International Test Conference, 2019

2018
Self-Test and Diagnosis for Self-Aware Systems.
IEEE Des. Test, 2018

Detecting and Resolving Security Violations in Reconfigurable Scan Networks.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Online prevention of security violations in reconfigurable scan networks.
Proceedings of the 23rd IEEE European Test Symposium, 2018

Multi-level timing simulation on GPUs.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
GPU-Accelerated Simulation of Small Delay Faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Built-In Test and Diagnosis for TSVs With Different Placement Topologies and Crosstalk Impact Ranges.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures.
IEEE Trans. Computers, 2017

Aging monitor reuse for small delay fault testing.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

Analysis and mitigation or IR-Drop induced scan shift-errors.
Proceedings of the IEEE International Test Conference, 2017

Trustworthy reconfigurable access to on-chip infrastructure.
Proceedings of the International Test Conference in Asia, 2017

Specification and verification of security in reconfigurable scan networks.
Proceedings of the 22nd IEEE European Test Symposium, 2017

Structure-Oriented Test of Reconfigurable Scan Networks.
Proceedings of the 26th IEEE Asian Test Symposium, 2017

2016
Dependable on-chip infrastructure for dependable MPSOCs.
Proceedings of the 17th Latin-American Test Symposium, 2016

An on-chip self-test architecture with test patterns recorded in scan chains.
Proceedings of the 2016 IEEE International Test Conference, 2016

Formal verification of secure reconfigurable scan network infrastructure.
Proceedings of the 21th IEEE European Test Symposium, 2016

Efficient Algorithm-Based Fault Tolerance for Sparse Matrix Operations.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2016

Autonomous Testing for 3D-ICs with IEEE Std. 1687.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Test Strategies for Reconfigurable Scan Networks.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths During At-Speed Scan Test.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Mixed 01X-RSL-Encoding for fast and accurate ATPG with unknowns.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Reconfigurable Scan Networks: Modeling, Verification, and Optimal Pattern Generation.
ACM Trans. Design Autom. Electr. Syst., 2015

Accurate QBF-Based Test Pattern Generation in Presence of Unknown Values.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Fine-Grained Access Management in Reconfigurable Scan Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Adaptive multi-layer techniques for increased system dependability.
it Inf. Technol., 2015

Efficient on-line fault-tolerance for the preconditioned conjugate gradient method.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

Efficient observation point selection for aging monitoring.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

STRAP: Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Low-overhead fault-tolerance for the preconditioned conjugate gradient solver.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

GPU-accelerated small delay fault simulation.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Intermittent and Transient Fault Diagnosis on Sparse Code Signatures.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

Optimized Selection of Frequencies for Faster-Than-at-Speed Test.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Boolean reasoning for digital circuits in presence of unknown values: application to test automation.
PhD thesis, 2014

Exact Logic and Fault Simulation in Presence of Unknowns.
ACM Trans. Design Autom. Electr. Syst., 2014

Resilience Articulation Point (RAP): Cross-layer dependability modeling for nanometer system-on-chip resilience.
Microelectron. Reliab., 2014

Access Port Protection for Reconfigurable Scan Networks.
J. Electron. Test., 2014

Verifikation Rekonfigurierbarer Scan-Netze.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2014

FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects.
Proceedings of the 2014 International Test Conference, 2014

Test pattern generation in presence of unknown values based on restricted symbolic logic.
Proceedings of the 2014 International Test Conference, 2014

Area-efficient synthesis of fault-secure NoC switches.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Test Strategies for Reliable Runtime Reconfigurable Architectures.
IEEE Trans. Computers, 2013

LCTI-SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing.
IEEE Des. Test, 2013

Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures.
Proceedings of the 2013 IEEE International Test Conference, 2013

Scan pattern retargeting and merging with reduced access time.
Proceedings of the 18th IEEE European Test Symposium, 2013

SAT-based code synthesis for fault-secure circuits.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Accurate QBF-based test pattern generation in presence of unknown values.
Proceedings of the Design, Automation and Test in Europe, 2013

Accurate Multi-cycle ATPG in Presence of X-Values.
Proceedings of the 22nd Asian Test Symposium, 2013

Securing Access to Reconfigurable Scan Networks.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Accurate X-Propagation for Test Applications by SAT-Based Reasoning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Modeling, verification and pattern generation for reconfigurable scan networks.
Proceedings of the 2012 IEEE International Test Conference, 2012

Transparent structural online test for reconfigurable systems.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Exact stuck-at fault classification in presence of unknowns.
Proceedings of the 17th IEEE European Test Symposium, 2012

OTERA: Online test strategies for reliable reconfigurable architectures - Invited paper for the AHS-2012 special session "Dependability by reconfigurable hardware".
Proceedings of the 2012 NASA/ESA Conference on Adaptive Hardware and Systems, 2012

2011
Efficient multi-level fault simulation of HW/SW systems for structural faults.
Sci. China Inf. Sci., 2011

Power-aware test generation with guaranteed launch safety for at-speed scan testing.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

A novel scan segmentation design method for avoiding shift timing failure in scan testing.
Proceedings of the 2011 IEEE International Test Conference, 2011

SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

SAT-based fault coverage evaluation in the presence of unknown values.
Proceedings of the Design, Automation and Test in Europe, 2011

Efficient BDD-based Fault Simulation in Presence of Unknown Values.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Efficient Concurrent Self-Test with Partially Specified Patterns.
J. Electron. Test., 2010

System reliability evaluation using concurrent multi-level simulation of structural faults.
Proceedings of the 2011 IEEE International Test Conference, 2010

Efficient fault simulation on many-core processors.
Proceedings of the 47th Design Automation Conference, 2010

Efficient Simulation of Structural Faults for the Reliability Evaluation at System-Level.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

On Determining the Real Output Xs by SAT-Based Reasoning.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Concurrent Self-Test with Partially Specified Patterns for Low Test Latency and Overhead.
Proceedings of the 14th IEEE European Test Symposium, 2009

Test Encoding for Extreme Response Compaction.
Proceedings of the 14th IEEE European Test Symposium, 2009

Test exploration and validation using transaction level models.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Test Set Stripping Limiting the Maximum Number of Specified Bits.
Proceedings of the 4th IEEE International Symposium on Electronic Design, 2008

A framework for scheduling parallel dbms user-defined programs on an attached high-performance computer.
Proceedings of the 5th Conference on Computing Frontiers, 2008


  Loading...