Kofi A. A. Makinwa

Orcid: 0000-0002-2992-5467

Affiliations:
  • Delft University of Technology, The Netherlands


According to our database1, Kofi A. A. Makinwa authored at least 238 papers between 2001 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2011, "For the development of precision analog circuits and integrated sensor systems".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A 120-MHz BW, 122-dBFS SFDR CTΔΣ ADC With a Multi-Path Multi-Frequency Chopping Scheme.
IEEE J. Solid State Circuits, April, 2024

3.7 A β-Compensated NPN-Based Temperature Sensor with ±0.1°C (3σ) Inaccuracy from -55°C to 125°C and a 200fJ·K<sup>2</sup> Resolution FoM.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

3.4 A 14b 98Hz-to-5.9kHz 1.7-to-50.8 μ W BW/Power Scalable Sensor Interface with a Dynamic Bandgap Reference and an Untrimmed Gain Error of ± 0.26 % from -40°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

3.2 A 0.028mm² 32MHz RC Frequency Reference in 0.18μm CMOS with ±900ppm Inaccuracy from -40°C to 125°C and ±1600ppm Inaccuracy After Accelerated Aging.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A 120.9-dB DR Digital-Input Capacitively Coupled Chopper Class-D Audio Amplifier.
IEEE J. Solid State Circuits, December, 2023

A Sub-1 V Capacitively Biased BJT-Based Temperature Sensor With an Inaccuracy of ±0.15 °C (3σ) From - 55 °C to 125 °C.
IEEE J. Solid State Circuits, December, 2023

A Compact 10-MHz RC Frequency Reference With a Versatile Temperature Compensation Scheme.
IEEE J. Solid State Circuits, December, 2023

A Hybrid Magnetic Current Sensor With a Dual Differential DC Servo Loop.
IEEE J. Solid State Circuits, December, 2023

A Hybrid Magnetic Current Sensor With a Multiplexed Ripple-Reduction Loop.
IEEE J. Solid State Circuits, October, 2023

A 720 nW Current Sensor with 0-to-15 V Input Common-Mode Range and ±0.5% Gain Error from -40 to 85 °C.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 6GHz Multi-Path Multi-Frequency Chopping CTΔΣ Modulator achieving 122dBFS SFDR from 150kHz to 120MHz BW.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 120.9dB DR, -111.2dB THD+N Digital-Input Capacitively-Coupled Chopper Class-D Audio Amplifier.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Bias-Flip Rectifier with a Duty-Cycle-Based MPPT Algorithm for Piezoelectric Energy Harvesting with 98% Peak MPPT Efficiency and 738% Energy-Extraction Enhancement.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A BJT-Based Temperature Sensor with $\pm 0.1^{\circ}\mathrm{C}(3\sigma)$ Inaccuracy from -55°C to 125°C and a 0.85pJ.K<sup>2</sup> Resolution FoM Using Continuous-Time Readout.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 40A Shunt-Based Current Sensor with ±0.2% Gain Error from -40°C to 125°C and Self-Calibration.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Sub-1V 810nW Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from -55°C to 125°C.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A Chopper-Stabilized Amplifier with a Relaxed Fill-In Technique and 22.6pA Input Current.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 51A Hybrid Magnetic Current Sensor with a Dual Differential DC Servo Loop and 43mArms Resolution in a 5MHz Bandwidth.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 0.01 mm<sup>2</sup> 10MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of $\boldsymbol{\pm 0.28\%}$ from $\boldsymbol{-45^{\mathrm{o}}\mathrm{C}}$ to $\boldsymbol{125^{\mathrm{o}}\mathrm{C}}$ in 0.18μm CMOS.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 13.56MHz Fully Integrated 91.8% Efficiency Single-Stage Dual-Output Regulating Voltage Doubler for Biomedical Wireless Power Transfer.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
A 121.4-dB DR Capacitively Coupled Chopper Class-D Audio Amplifier.
IEEE J. Solid State Circuits, 2022

A -121.5-dB THD Class-D Audio Amplifier With 49-dB LC Filter Nonlinearity Suppression.
IEEE J. Solid State Circuits, 2022

A Versatile ±25-A Shunt-Based Current Sensor With ±0.25% Gain Error From -40 °C to 85 °C.
IEEE J. Solid State Circuits, 2022

An Auto-Zero-Stabilized Voltage Buffer With a Quiet Chopping Scheme and Constant Sub-pA Input Current.
IEEE J. Solid State Circuits, 2022

A MEMS Coriolis-Based Mass-Flow-to-Digital Converter for Low Flow Rate Sensing.
IEEE J. Solid State Circuits, 2022

A -91 dB THD+N, Class-D Piezoelectric Speaker Driver Using Dual Voltage/Current Feedback for Resistor-Less LC Resonance Damping.
IEEE J. Solid State Circuits, 2022

A 16 MHz CMOS RC Frequency Reference With ±90 ppm Inaccuracy From -45 °C to 85 °C.
IEEE J. Solid State Circuits, 2022

A 0.9-V 28-MHz Highly Digital CMOS Dual-RC Frequency Reference With ±200 ppm Inaccuracy From -40 °C to 85 °C.
IEEE J. Solid State Circuits, 2022

A 210nW BJT-based Temperature Sensor with an Inaccuracy of ±0.15°C (3σ) from -15°C to 85°C.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

A 121.4dB DR, -109.8dB THD+N Capacitively-Coupled Chopper Class-D Audio Amplifier.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A ±25A Versatile Shunt-Based Current Sensor with 10kHz Bandwidth and ±0.25% Gain Error from -40°C to 85°C Using 2-Current Calibration.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A MEMS Coriolis-Based Mass-Flow-to-Digital Converter with 100µg/h/√Hz Noise i Floor and Zero Stability of ±0.35mg/h.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A -91 dB THD+N Resistor-Less Class-D Piezoelectric Speaker Driver Using a Dual Voltage/ Current Feedback for LC Resonance Damping.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 590 µW, 106.6 dB SNDR, 24 kHz BW Continuous-Time Zoom ADC with a Noise-Shaping 4-bit SAR ADC.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

Resistor-based Temperature Sensors in CMOS Technology
Springer, ISBN: 978-3-030-95283-9, 2022

2021
A High-Linearity and Low-EMI Multilevel Class-D Amplifier.
IEEE J. Solid State Circuits, 2021

A Fill-In Technique for Robust IMD Suppression in Chopper Amplifiers.
IEEE J. Solid State Circuits, 2021

A 200-μW Interface for High-Resolution Eddy-Current Displacement Sensors.
IEEE J. Solid State Circuits, 2021

A 10 fJ·K<sup>2</sup> Wheatstone Bridge Temperature Sensor With a Tail-Resistor-Linearized OTA.
IEEE J. Solid State Circuits, 2021

A Self-Calibrated Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor.
IEEE J. Solid State Circuits, 2021

A 440-μW, 109.8-dB DR, 106.5-dB SNDR Discrete-Time Zoom ADC With a 20-kHz BW.
IEEE J. Solid State Circuits, 2021

A -121.5 dB THD Class-D Audio Amplifier with 49 dB Suppression of LC Filter Nonlinearity and Robust to +/-30% LC Filter Spread.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A ±2A/15A Current Sensor with 1.4 μA Supply Current and ±0.35%/0.6% Gain Error From -40 to 85°C using an Analog Temperature-Compensation Scheme.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

31.4 A Chopper-Stabilized Amplifier with -107dB IMD and 28dB Suppression of Chopper-Induced IMD.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

5.4 A Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor with a Self-Calibrated Inaccuracy of ±0.25° C(3 Σ) from -55°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

5.7 A MEMS Coriolis Mass Flow Sensor with 300 μ g/h/√Hz Resolution and ± 0.8mg/h Zero Stability.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 25A Hybrid Magnetic Current Sensor with 64mA Resolution, 1.8MHz Bandwidth, and a Gain Drift Compensation Scheme.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

31.3 A 0.14mm<sup>2</sup> 16MHz CMOS RC Frequency Reference with a 1-Point Trimmed Inaccuracy of ±400ppm from -45°C to 85°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

31.2 A 0.9V 28MHz Dual-RC Frequency Reference with 5pJ/Cycle and ±200 ppm Inaccuracy from -40°C to 85°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A Highly Digital 2210μm<sup>2</sup> Resistor-Based Temperature Sensor with a 1-Point Trimmed Inaccuracy of ± 1.3 ° C (3 σ) from -55 ° C to 125 ° C in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

2020
A BJT-Based Temperature-to-Digital Converter With a ±0.25 °C 3 σ-Inaccuracy From -40 °C to +180 °C Using Heater-Assisted Voltage Calibration.
IEEE J. Solid State Circuits, 2020

A 28-W, -102.2-dB THD+N Class-D Amplifier Using a Hybrid ΔΣM-PWM Scheme.
IEEE J. Solid State Circuits, 2020

A Continuous-Time Zoom ADC for Low-Power Audio Applications.
IEEE J. Solid State Circuits, 2020

A -107.8 dB THD+N Low-EMI Multi-Level Class-D Audio Amplifier.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A 200μW Eddy Current Displacement Sensor with 6.7nmRMS Resolution.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

A 440μW, 109.8dB DR, 106.5dB SNDR Discrete-Time Zoom ADC with a 20kHz BW.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

3.6 A CMOS Resistor-Based Temperature Sensor with a 10fJ·K2 Resolution FoM and 0.4°C (30) Inaccuracy From -55°C to 125°C After a 1-point Trim.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

23.4 A 28W -108.9dB/-102.2dB THD/THD+N Hybrid ΔΣ-PWM Class-D Audio Amplifier with 91% Peak Efficiency and Reduced EMI Emission.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

3.4 A 16MHz CMOS RC Frequency Reference with ±400ppm Inaccuracy from -45°C to 85°C After Digital Linear Temperature Compensation.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
An Energy-Efficient 3.7-nV/ $\surd$ Hz Bridge Readout IC With a Stable Bridge Offset Compensation Scheme.
IEEE J. Solid State Circuits, 2019

A 15-nW per Sensor Interference-Immune Readout IC for Capacitive Touch Sensors.
IEEE J. Solid State Circuits, 2019

A 6800-µm<sup>2</sup> Resistor-Based Temperature Sensor With ±0.35 °C (3 σ) Inaccuracy in 180-nm CMOS.
IEEE J. Solid State Circuits, 2019

A Low Power Continuous-Time Zoom ADC for Audio Applications.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 3.2mW SAR-assisted CTΔ∑ ADC with 77.5dB SNDR and 40MHz BW in 28nm CMOS.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

An Auto-Zero Stabilized Voltage Buffer with a Quiet Chopping Scheme and Constant Input Current.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A Wheatstone Bridge Temperature Sensor with a Resolution FoM of 20fJ.K<sup>2</sup>.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A 0.12mm<sup>2</sup> Wien-Bridge Temperature Sensor with 0.1°C (3σ) Inaccuracy from -40°C to 180°C.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

A MEMS Coriolis Mass Flow Sensing System with Combined Drive and Sense Interface.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

An Auto-Zero Stabilized Voltage Buffer with a Trimmed Input Current of 0.2pA.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

A 5800-μm<sup>2</sup> Resistor-Based Temperature Sensor With a One-Point Trimmed Inaccuracy of ±1.2 °C (3σ) From -50 °C to 105 °C in 65-nm CMOS.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

An Energy-Efficient BJT-Based Temperature-to-Digital Converter with ±0.13°C (3σ) Inaccuracy from -40 to 125°C.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
A ±4-A High-Side Current Sensor With 0.9% Gain Error From -40 °C to 85 °C Using an Analog Temperature Compensation Technique.
IEEE J. Solid State Circuits, 2018

A 0.25 mm<sup>2</sup>-Resistor-Based Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) From -55 °C to 125 °C.
IEEE J. Solid State Circuits, 2018

A Resistor-Based Temperature Sensor With a 0.13 pJ $\cdot$ K2 Resolution FoM.
IEEE J. Solid State Circuits, 2018

A 280 µW Dynamic Zoom ADC With 120 dB DR and 118 dB SNDR in 1 kHz BW.
IEEE J. Solid State Circuits, 2018

A CMOS Dual-RC Frequency Reference With ±200-ppm Inaccuracy From -45 °C to 85 °C.
IEEE J. Solid State Circuits, 2018

A Compact Resistor-Based CMOS Temperature Sensor With an Inaccuracy of 0.12 °C (3σ) and a Resolution FoM of 0.43 pJ⋅K<sup>2</sup> in 65-nm CMOS.
IEEE J. Solid State Circuits, 2018

A 19.8-mW Eddy-Current Displacement Sensor Interface With Sub-Nanometer Resolution.
IEEE J. Solid State Circuits, 2018

A Phase-Domain Readout Circuit for a CMOS-Compatible Hot-Wire CO<sub>2</sub> Sensor.
IEEE J. Solid State Circuits, 2018

A 66-dB SNDR Pipelined Split-ADC in 40-nm CMOS Using a Class-AB Residue Amplifier.
IEEE J. Solid State Circuits, 2018

A Capacitively Degenerated 100-dB Linear 20-150 MS/s Dynamic Amplifier.
IEEE J. Solid State Circuits, 2018

A ±4A high-side current sensor with 25V input CM range and 0.9% gain error from -40°C to 85°C using an analog temperature compensation technique.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A quiet digitally assisted auto-zero-stabilized voltage buffer with 0.6pA input current and offset.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 0.25mm<sup>2</sup> resistor-based temperature sensor with an inaccuracy of 0.12°C (3σ) from -55°C to 125°C and a resolution FOM of 32fJK<sup>2</sup>.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 19 overview: Sensors and interfaces: Analog subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 280μW dynamic-zoom ADC with 120dB DR and 118dB SNDR in 1kHz BW.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A CMOS Dual-RC frequency reference with ±250ppm inaccuracy from -45°C to 85°C.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 0.53pJK<sup>2</sup> 7000μm<sup>2</sup> resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Session 3 overview: Analog techniques: Analog subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A phase-domain readout circuit for a CMOS-compatible thermal-conductivity-based carbon dioxide sensor.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Capacitively-coupled Chopper Instrumentation Amplifiers: An Overview.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

A 15nW Per Button Noise-Immune Readout IC for Capacitive Touch Sensor.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

Energy-efficient bridge-to-digital converters.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A 6800-μm<sup>2</sup> Resistor-Based Temperature Sensor in 180-nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
An Accurate BJT-Based CMOS Temperature Sensor With Duty-Cycle-Modulated Output.
IEEE Trans. Ind. Electron., 2017

Analysis and Design of VCO-Based Phase-Domain ΣΔ Modulators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A BJT-Based Temperature-to-Digital Converter With ±60 mK (3~σ) Inaccuracy From -55 °C to +125 °C in 0.16-μm CMOS.
IEEE J. Solid State Circuits, 2017

Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm CMOS for SoC Thermal Monitoring.
IEEE J. Solid State Circuits, 2017

A ±36-A Integrated Current-Sensing System With a 0.3% Gain Error and a 400-µA Offset From -55 °C to +85 °C.
IEEE J. Solid State Circuits, 2017

A Hybrid Multi-Path CMOS Magnetic Sensor With 76 ppm/°C Sensitivity Drift and Discrete-Time Ripple Reduction Loops.
IEEE J. Solid State Circuits, 2017

Multipath Wide-Bandwidth CMOS Magnetic Sensors.
IEEE J. Solid State Circuits, 2017

A Dynamic Zoom ADC With 109-dB DR for Audio Applications.
IEEE J. Solid State Circuits, 2017

A Low-Power Microcontroller in a 40-nm CMOS Using Charge Recycling.
IEEE J. Solid State Circuits, 2017

A 12μW NPN-based temperature sensor with a 18.4pJ K<sup>2</sup> FOM in 0.18μm BCD CMOS.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

Next generation CMOS temperature sensors.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

9.3 A BJT-based temperature sensor with a packaging-robust inaccuracy of ±0.3°C (3σ) from -55°C to +125°C after heater-assisted voltage calibration.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

9.1 A resistor-based temperature sensor with a 0.13pJ·K<sup>2</sup> resolution FOM.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

9.8 An energy-efficient 3.7nV/√Hz bridge-readout IC with a stable bridge offset compensation scheme.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

9.9 A 0.6nm resolution 19.8mW eddy-current displacement sensor interface with 126MHz excitation.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Optimum synchronous phase detection and its application in smart sensor interfaces.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Chopping in continuous-time sigma-delta modulators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An energy-efficient readout method for piezoresistive differential pressure sensors.
Proceedings of the IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society, Beijing, China, October 29, 2017

A frequency-locked loop based on an oxide electrothermal filter in standard CMOS.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 28 nm 2 GS/s 5-b single-channel SAR ADC with gm-boosted StrongARM comparator.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

A 10kHz-BW 93.7dB-SNR chopped ΔΣ ADC with 30V input CM range and 115dB CMRR at 10kHz.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
A ±5A Integrated Current-Sensing System With ±0.3% Gain Error and 16μA Offset From -55°C +85°C.
IEEE J. Solid State Circuits, 2016

A Ratiometric Readout Circuit for Thermal-Conductivity-Based Resistive CO<sub>2</sub> Sensors.
IEEE J. Solid State Circuits, 2016

A VCO Based Highly Digital Temperature Sensor With 0.034 °C/mV Supply Sensitivity.
IEEE J. Solid State Circuits, 2016

A BJT-based temperature-to-digital converter with ±60mK (3σ) inaccuracy from -70°C to 125°C in 160nm CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A ± 36A integrated current-sensing system with 0.3% gain error and 400µA offset from -55°C to +85°C.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A microcontroller with 96% power-conversion efficiency using stacked voltage domains.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

11.4 1650µm2 thermal-diffusivity sensors with inaccuracies down to ±0.75°C in 40nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

11.3 A hybrid multipath CMOS magnetic sensor with 210µTrms resolution and 3MHz bandwidth for contactless current sensing.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

15.7 A 1.65mW 0.16mm2 dynamic zoom-ADC with 107.5dB DR in 20kHz BW.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

An oxide electrothermal filter in standard CMOS.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

A hybrid multi-path CMOS magnetic sensor with 76 ppm/°C sensitivity drift.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
A 15-Channel Digital Active Electrode System for Multi-Parameter Biopotential Measurement.
IEEE J. Solid State Circuits, 2015

A Thermistor-Based Temperature Sensor for a Real-Time Clock With ± 2 ppm Frequency Stability.
IEEE J. Solid State Circuits, 2015

A 3 ppm 1.5 × 0.8 mm 2 1.0 µA 32.768 kHz MEMS-Based Oscillator.
IEEE J. Solid State Circuits, 2015

Circuits evening panel discussion 1: Is university circuit design research and education keeping up with industry needs?
Proceedings of the Symposium on VLSI Circuits, 2015

A fully integrated ±5A current-sensing system with ±0.25% gain error and 12μΑ offset from -40°C to +85°C.
Proceedings of the Symposium on VLSI Circuits, 2015

A self-referenced VCO-based temperature sensor with 0.034°C/mV supply sensitivity in 65nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015

A generic read-out circuit for resistive transducers.
Proceedings of the 6th International Workshop on Advances in Sensors and Interfaces, 2015

5.2 A 110dB SNR ADC with ±30V input common-mode range and 8μV Offset for current sensing applications.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

27.8 A 4600μm<sup>2</sup> 1.5°C (3σ) 0.9kS/s thermal-diffusivity temperature sensor with VCO-based readout.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A 25 mW smart CMOS wind sensor with corner heaters.
Proceedings of the IECON 2015, 2015

A 0.02mm<sup>2</sup> embedded temperature sensor with ±2°C inaccuracy for self-refresh control in 25nm mobile DRAM.
Proceedings of the ESSCIRC Conference 2015, 2015

A ratiometric readout circuit for thermal-conductivity-based resistive gas sensors.
Proceedings of the ESSCIRC Conference 2015, 2015

A multi-path CMOS Hall sensor with integrated ripple reduction loops.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

A 2800-μm2 thermal-diffusivity temperature sensor with VCO-based readout in 160-nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015

2014
A Wearable 8-Channel Active-Electrode EEG/ETI Acquisition System for Body Area Networks.
IEEE J. Solid State Circuits, 2014

A Continuous-Time Ripple Reduction Technique for Spinning-Current Hall Sensors.
IEEE J. Solid State Circuits, 2014

24.7 A 60nV/√Hz 15-channel digital active electrode system for portable biopotential signal acquisition.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

12.7 A 0.85V 600nW all-CMOS temperature sensor with an inaccuracy of ±0.4°C (3σ) from -40 to 125°C.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

12.8 A BJT-based CMOS temperature sensor with a 3.6pJ·K<sup>2</sup>-resolution FoM.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

12.9 A 1.55×0.85mm<sup>2</sup> 3ppm 1.0μA 32.768kHz MEMS-based oscillator.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A 0.008-mm<sup>2</sup> area-optimized thermal-diffusivity-based temperature sensor in 160-nm CMOS for SoC thermal monitoring.
Proceedings of the ESSCIRC 2014, 2014

A resistor-based temperature sensor for a real time clock with ±2ppm frequency stability.
Proceedings of the ESSCIRC 2014, 2014

2013
Measurement and Analysis of Current Noise in Chopper Amplifiers.
IEEE J. Solid State Circuits, 2013

A CMOS Temperature Sensor With a Voltage-Calibrated Inaccuracy of ±0.15°C (3σ) From -55°C to 125°C.
IEEE J. Solid State Circuits, 2013

A 256 Pixel Magnetoresistive Biosensor Microarray in 0.18 µm CMOS.
IEEE J. Solid State Circuits, 2013

A 6.3 µW 20 bit Incremental Zoom-ADC with 6 ppm INL and 1 µV Offset.
IEEE J. Solid State Circuits, 2013

A ±5A battery current sensor with ±0.04% gain error from -55°C to +125°C.
Proceedings of the 5th IEEE International Workshop on Advances in Sensors and Interfaces, 2013

A micropower battery current sensor with ±0.03% (3σ) inaccuracy from -40 to +85°C.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A multi-path chopper-stabilized capacitively coupled operational amplifier with 20V-input-common-mode range and 3µV offset.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 0.25mm<sup>2</sup> AC-biased MEMS microphone interface with 58dBA SNR.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

A 6.3µW 20b incremental zoom-ADC with 6ppm INL and 1µV offset.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

Minimum energy point tracking for sub-threshold digital CMOS circuits using an in-situ energy sensor.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A 40µW CMOS temperature sensor with an inaccuracy of ±0.4°C (3σ) from -55°C to 200°C.
Proceedings of the ESSCIRC 2013, 2013

A resistor-based temperature sensor for MEMS frequency references.
Proceedings of the ESSCIRC 2013, 2013

A continuous-time ripple reduction technique for spinning-current Hall sensors.
Proceedings of the ESSCIRC 2013, 2013

2012
A 20-b ± 40-mV Range Read-Out IC With 50-nV Offset and 0.04% Gain Error for Bridge Transducers.
IEEE J. Solid State Circuits, 2012

A Scaled Thermal-Diffusivity-Based 16 MHz Frequency Reference in 0.16 µm CMOS.
IEEE J. Solid State Circuits, 2012

HermesE: A 96-Channel Full Data Rate Direct Neural Interface in 0.13 µm CMOS.
IEEE J. Solid State Circuits, 2012

A 21 nV/√ Hz Chopper-Stabilized Multi-Path Current-Feedback Instrumentation Amplifier With 2 µ V Offset.
IEEE J. Solid State Circuits, 2012

A 700µW 8-channel EEG/contact-impedance acquisition system for dry-electrodes.
Proceedings of the Symposium on VLSI Circuits, 2012

A capacitance-to-digital converter for displacement sensing with 17b resolution and 20μs conversion time.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A ±0.4°C (3σ) -70 to 200°C time-domain temperature sensor based on heat diffusion in Si and SiO2.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A CMOS temperature sensor with a voltage-calibrated inaccuracy of ±0.15°C (3σ) from -55 to 125°C.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A capacitively coupled chopper instrumentation amplifier with a ±30V common-mode range, 160dB CMRR and 5μV offset.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

Measurement and analysis of input current noise in chopper amplifiers.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A 20bit continuous-time ΣΔ modulator with a Gm-C integrator, 120dB CMRR and 15 ppm INL.
Proceedings of the 38th European Solid-State Circuit conference, 2012

A capacitively-coupled chopper operational amplifier with 3μV Offset and outside-the-rail capability.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
A $160~\mu {\rm W}$ 8-Channel Active Electrode System for EEG Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2011

A Current-Feedback Instrumentation Amplifier With a Gain Error Reduction Loop and 0.06% Untrimmed Gain Error.
IEEE J. Solid State Circuits, 2011

A 0.12 mm <sup>2</sup> 7.4 μ W Micropower Temperature Sensor With an Inaccuracy of ± 0.2°C (3 Sigma ) From - 30°C to 125°C.
IEEE J. Solid State Circuits, 2011

A 65-nm CMOS Temperature-Compensated Mobility-Based Frequency Reference for Wireless Sensor Networks.
IEEE J. Solid State Circuits, 2011

A Single-Trim CMOS Bandgap Reference With a 3Sigma Inaccuracy of ± 0.15% From - 40°C to 125°C.
IEEE J. Solid State Circuits, 2011

A 1.8 μ W 60 nV √ Hz Capacitively-Coupled Chopper Instrumentation Amplifier in 65 nm CMOS for Wireless Sensor Nodes.
IEEE J. Solid State Circuits, 2011

A Single-Temperature Trimming Technique for MOS-Input Operational Amplifiers Achieving 0.33 μ V/°C Offset Drift.
IEEE J. Solid State Circuits, 2011

A 4 GHz Continuous-Time ΔΣ ADC With 70 dB DR and -74 dBFS THD in 125 MHz BW.
IEEE J. Solid State Circuits, 2011

A 160μW 8-channel active electrode system for EEG monitoring.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 21b ±40mV range read-out IC for bridge transducers.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 50mW CMOS wind sensor with ±4% speed and ±2° direction error.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A 4GHz CT ΔΣ ADC with 70dB DR and -74dBFS THD in 125MHz BW.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

A precision DTMOST-based temperature sensor.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Effects of packaging and process spread on a mobility-based frequency reference in 0.16-μm CMOS.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A scaled thermal-diffusivity-based frequency reference in 0.16μm CMOS.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

A 36V voltage-to-current converter with dynamic element matching and auto-calibration for AC ripple reduction.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Thermal diffusivity sensing: A new temperature sensing paradigm.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

A 21-bit read-out IC employing dynamic element matching with 0.037% gain error.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

A continuous-time ΣΔ modulator with a Gm-C input stage, 120-dB CMRR and -87 dB THD.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
A 1.2-V 10-μ W NPN-Based Temperature Sensor in 65-nm CMOS With an Inaccuracy of 0.2°C (3 Sigma ) From - 70°C to 125°C.
IEEE J. Solid State Circuits, 2010

A Thermal-Diffusivity-Based Frequency Reference in Standard CMOS With an Absolute Inaccuracy of ±0.1% From - 55°C to 125°C.
IEEE J. Solid State Circuits, 2010

A 200 μA Duty-Cycled PLL for Wireless Sensor Nodes in 65 nm CMOS.
IEEE J. Solid State Circuits, 2010

A thermal-diffusivity-based temperature sensor with an untrimmed inaccuracy of ±0.2°c (3s) from -55°c to 125°c.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A CMOS temperature sensor with an energy-efficient zoom ADC and an Inaccuracy of ±0.25°C (3s) from -40°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 1.2V 10µW NPN-based temperature sensor in 65nm CMOS with an inaccuracy of ±0.2°C (3s) from -70°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A single-trim CMOS bandgap reference with a 3σ inaccuracy of ±0.15% from -40°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 21nV/√Hz chopper-stabilized multipath current-feedback instrumentation amplifier with 2µV offset.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 2.4GHz 830pJ/bit duty-cycled wake-up receiver with -82dBm sensitivity for crystal-less wireless sensor nodes.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 0.12mm2 7.4μW micropower temperature sensor with an inaccuracy of ±0.2°C (3σ) from -30°C to 125°C.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

A 1.8µW 1µV-offset capacitively-coupled chopper instrumentation amplifier in 65nm CMOS.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
Impulse-Based Scheme for Crystal-Less ULP Radios.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A Chopper Current-Feedback Instrumentation Amplifier With a 1 mHz 1/f Noise Corner and an AC-Coupled Ripple Reduction Loop.
IEEE J. Solid State Circuits, 2009

A Low-Voltage Mobility-Based Frequency Reference for Crystal-Less ULP Radios.
IEEE J. Solid State Circuits, 2009

A Temperature-to-Digital Converter Based on an Optimized Electrothermal Filter.
IEEE J. Solid State Circuits, 2009

Introduction to the Special Issue on the 34th ESSCIRC.
IEEE J. Solid State Circuits, 2009

A chopper current-feedback instrumentation amplifier with a 1mHz 1/ƒ noise corner and an AC-coupled ripple-reduction loop.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25°C (3σ) from -70°C to 130°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

A multi-bit cascaded sigma-delta modulator with an oversampled single-bit DAC.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

A digitally-assisted electrothermal frequency-locked loop.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

A 200 µA duty-cycled PLL for wireless sensor nodes.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

2008
Interface Electronics for a CMOS Electrothermal Frequency-Locked-Loop.
IEEE J. Solid State Circuits, 2008

A Current-Feedback Instrumentation Amplifier With 5 µV Offset for Bidirectional High-Side Current-Sensing.
IEEE J. Solid State Circuits, 2008

A Current-Feedback Instrumentation Amplifier with 5μV Offset for Bidirectional High-Side Current-Sensing.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A CMOS Temperature-to-Digital Converter with an Inaccuracy of ± 0.5° C (3σ)from -55 to 125°C.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A BiCMOS Operational Amplifier Achieving 0.33μV°C Offset Drift using Room-Temperature Trimming.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

The design of a chopped current-feedback instrumentation amplifier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
A CMOS Chopper Offset-Stabilized Opamp.
IEEE J. Solid State Circuits, 2007

Multiple-Ramp Column-Parallel ADC Architectures for CMOS Image Sensors.
IEEE J. Solid State Circuits, 2007

An IF-to-Baseband ΣΔ Modulator for AM/FM/IBOC Radio Receivers With a 118 dB Dynamic Range.
IEEE J. Solid State Circuits, 2007

A CMOS Image Sensor with a Column-Level Multiple-Ramp Single-Slope ADC.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A Three-Stage Amplifier with Quenched Multipath Frequency Compensation for All Capacitive Loads.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Smart sensor design: The art of compensation and cancellation.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007

2006
A CMOS Imager With Column-Level ADC Using Dynamic Column Fixed-Pattern Noise Reduction.
IEEE J. Solid State Circuits, 2006

A CMOS Temperature-to-Frequency Converter With an Inaccuracy of Less Than$\pm \hbox{0.5}\, ^{\circ}{\hbox{C}}$(3$\sigma$) From$-\hbox{40}\, ^{\circ}\hbox{C}$to 105$\, ^{\circ}\hbox{C}$.
IEEE J. Solid State Circuits, 2006

A Solid-State 2-D Wind Sensor.
Proceedings of the Embedded Computer Systems: Architectures, 2006

A CMOS Imager with Column-Level ADC Using Dynamic Column FPN Reduction.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

An 118dB DR CT IF-to-Baseband ΣΔ Modulator for AM/FM/IBOC Radio Receivers.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A CMOS Temperature-to-Frequency Converter with an Inaccuracy of 0.5°C (3 σ) from -40 to 105°C.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A 110dB dynamic range continuous-time IF-to-baseband Sigma Delta modulator for AM/FM/IBOC receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Noise analysis of continuous-time Sigma Delta modulators with switched-capacitor feedback DAC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1°C from -55°C to 125°C.
IEEE J. Solid State Circuits, 2005

2002
Constant power operation of a two-dimensional flow sensor.
IEEE Trans. Instrum. Meas., 2002

2001
A wind-sensor with integrated interface electronics.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A wind sensor with an integrated low-offset instrumentation amplifier.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001


  Loading...