Raoul Velazco

According to our database1, Raoul Velazco authored at least 73 papers between 1982 and 2021.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Nanosatellite On-Board Computer including a Many-Core Processor.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

Evaluation of Attitude Estimation Algorithm under Soft Error Effects.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

2019
A Wireless Embedded System for Measuring the Effects of Ionizing Radiations.
Proceedings of the IEEE Latin American Test Symposium, 2019

Delay-Tolerant Wireless Networks on Chip: Preliminary Analysis and Results.
Proceedings of the IEEE Latin American Test Symposium, 2019

NoCFI: A Hybrid Fault Injection Method for Networks-On-Chip.
Proceedings of the IEEE Latin American Test Symposium, 2019

2018
A Runtime Fault-Tolerant Routing Scheme for Partially Connected 3D Networks-on-Chip.
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018

A soft-error resilient route computation unit for 3D Networks-on-Chips.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Assessing Contact Graph Routing Performance and Reliability in Distributed Satellite Constellations.
J. Comput. Networks Commun., 2017

Preliminary results of NETFI-2: An automatic method for fault injection on HDL-based designs.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

SEU impact in processor's control-unit: Preliminary results obtained for LEON3 soft-core.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

Low cost rollback to improve fault-tolerance in VLSI circuits.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

2016
Towards an efficient SEU effects emulation on SRAM-based FPGAs.
Microelectron. Reliab., 2016

Hardware implementation of a fault-tolerant Hopfield Neural Network on FPGAs.
Neurocomputing, 2016

Internetworking approaches towards along-track segmented satellite architectures.
Proceedings of the 2016 IEEE International Conference on Wireless for Space and Extreme Environments, 2016

A deep analysis of SEU consequences in the internal memory of LEON3 processor.
Proceedings of the 17th Latin-American Test Symposium, 2016

2015
Evaluating SEU fault-injection on parallel applications implemented on multicore processors.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Optimization of SEU emulation on SRAM FPGAs based on sensitiveness analysis.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

An automated fault injection for evaluation of LUTs robustness in SRAM-based FPGAs.
Proceedings of the 2015 IEEE East-West Design & Test Symposium, 2015

2014
SEU fault-injection at system level: Method, tools and preliminary results.
Proceedings of the 15th Latin American Test Workshop, 2014

Preliminary results of SEU fault-injection on multicore processors in AMP mode.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Two complementary approaches for studying the effects of SEUs on HDL-based designs.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

2013
SEU Fault-Injection in VHDL-Based Processors: A Case Study.
J. Electron. Test., 2013

Optimization of a self-converging algorithm at assembly level to improve SEU fault-tolerance.
Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems, 2013

Fault-tolerance capabilities of a software-implemented Hopfield Neural Network.
Proceedings of the Third International Conference on Communications and Information Technology, 2013

2011
A new fault injection approach to study the impact of bitflips in the configuration of SRAM-based FPGAs.
Int. Arab J. Inf. Technol., 2011

Reliability Limits of TMR Implemented in a SRAM-based FPGA: Heavy Ion Measures vs. Fault Injection Predictions.
J. Electron. Test., 2011

An Optimal Implementation on FPGA of a Hopfield Neural Network.
Adv. Artif. Neural Syst., 2011

Robustness with respect to SEUs of a self-converging algorithm.
Proceedings of the 12th Latin American Test Workshop, 2011

2010
Dependability validation of a cryptoprocessor to SEU effects.
Proceedings of the 11th Latin American Test Workshop, 2010

Bit-flip injection strategies for FSMs modeled in VHDL behavioral level.
Proceedings of the 11th Latin American Test Workshop, 2010

2009
Test and qualification of a Fault Tolerant FPGA based Active Antenna System for space applications.
Proceedings of the 10th Latin American Test Workshop, 2009

A generic platform for remote accelerated tests and high altitude SEU experiments on advanced ICs: Correlation with MUSCA SEP3 calculations.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

2008
Dynamic Testing of an SRAM-Based FPGA by Time-Resolved Laser Fault Injection.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

2005
How to Characterize the Problem of SEU in Processors and Representative Errors Observed on Flight.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

2004
A Survey on Fault Injection Techniques.
Int. Arab J. Inf. Technol., 2004

Performance Evaluation and Failure Rate Prediction for the Soft Implemented Error Detection Technique.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

Coupling Different Methodologies to Validate Obsolete Microprocessors.
Proceedings of the 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 2004

2003
Assessing the Soft Error Rate of Digital Architectures Devoted to Operate in Radiation Environment: A Case Studied.
J. Electron. Test., 2003

A Methodology for Test Replacement Solutions of Obsolete Processors.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

Radiation test methodology for SRAM-based FPGAs by using THESIC.
Proceedings of the 9th IEEE International On-Line Testing Symposium (IOLTS 2003), 2003

SIED: Software Implemented Error Detection.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Efficiency of Transient Bit-Flips Detection by Software Means: A Complete Study.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Preliminary Validation of an Approach Dealing with Processor Obsolescence.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Detecting Soft Errors by a Purely Software Approach: Method, Tools and Experimental Results.
Proceedings of the 2003 Design, 2003

Detecting Soft Errors by a Purely Software Approach: Method, Tools and Experimental Results.
Proceedings of the Embedded Software for SoC, 2003

2002
A Software Fault Tolerance Method for Safety-Critical Systems: Effectiveness and Drawbacks.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

Injecting Multiple Upsets in a SEU Tolerant 8051 Micro-Controller.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

Error Rate Estimation for a Flight Application Using the CEU Fault Injection Approach.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

Bit Flip Injection in Processor-Based Architectures: A Case Study.
Proceedings of the 8th IEEE International On-Line Testing Workshop (IOLTW 2002), 2002

Injecting Bit Flip Faults by Means of a Purely Software Approach: A Case Studied.
Proceedings of the 17th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2002), 2002

2001
Synthesis of an 8051-Like Micro-Controller Tolerant to Transient Faults.
J. Electron. Test., 2001

Soft Errors and Tolerance for Soft Errors.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Upset-like fault injection in VHDL descriptions: A Method and Preliminary Results.
Proceedings of the 2nd Latin American Test Workshop, 2001

Effectiveness and Limitations of Various Software Techniques for "Soft Error" Detection: A Comparative Study.
Proceedings of the 7th IEEE International On-Line Testing Workshop (IOLTW 2001), 2001

Upset-Like Fault Injection in VHDL Descriptions: A Method and Preliminary Results.
Proceedings of the 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 2001

System safety through automatic high-level code transformations: an experimental evaluation.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Designing a Radiation Hardened 8051-Like Micro-Controller.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

Hardening the Software with Respect to Transient Errors: a Method and Experimental Results.
Proceedings of the 1st Latin American Test Workshop, 2000

Fault-Tolerance in VHDL Description: Transient-Fault Injection & Early Reliability Estimation.
Proceedings of the 1st Latin American Test Workshop, 2000

Transient Bitflip Injection in Microprocessor Embedded Applications.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

Estimating Circuit Fault-Tolerance by Means of Transient-Fault Injection in VHDL.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

Evaluating the Effectiveness of a Software Fault-Tolerance Technique on RISC- and CISC-Based Architectures.
Proceedings of the 6th IEEE International On-Line Testing Workshop (IOLTW 2000), 2000

1999
Study of Two ANN Digital Implementations of a Radar Detector Candidate to an On-Board Satellite Experiment.
Proceedings of the Engineering Applications of Bio-Inspired Artificial Neural Networks, 1999

1998
Operation in Space of Artificial Neural Networks Implemented by Means of a Dedicated Architecture based on a Transputer.
Proceedings of the 11th Annual Symposium on Integrated Circuits Design, 1998

1997
Analysis and Improvement of Neural Network Robustness for On-Board Satellite Image Processing.
Proceedings of the Artificial Neural Networks, 1997

1991
An A Priori Approach to the Evaluation of Signature Analysis Efficiency.
IEEE Trans. Computers, 1991

1990
Failure coverage of functional test methods: a comparative experimental evaluation.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

1988
Analysis of Experimental Results on Functional Testing and Diagnosis of Complex Circuits.
Proceedings of the Proceedings International Test Conference 1988, 1988

1985
A Microprocessor Test Approach Allowing Fault Localization.
Proceedings of the Proceedings International Test Conference 1985, 1985

1984
Hardware and Software Tools for Microprocessor Functional Test.
Proceedings of the Proceedings International Test Conference 1984, 1984

Taking into account asynchronous signals in functional test of complex circuits.
Proceedings of the 21st Design Automation Conference, 1984

1982
Test comportemental de microprocesseurs.
PhD thesis, 1982

Automatic generation of microprocessor test programs.
Proceedings of the 19th Design Automation Conference, 1982


  Loading...