Sergio Bampi

Orcid: 0000-0002-9018-6309

Affiliations:
  • Federal University of Rio Grande do Sul, Porto Alegre, RS, Brazil


According to our database1, Sergio Bampi authored at least 365 papers between 1990 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
VLSI Architectures of Approximate Arithmetic Units Applied to Parallel Sensors Calibration.
IEEE Trans. Circuits Syst. I Regul. Pap., March, 2024

2023
Robustness Analysis of 3-2 Adder Compressor Designed in 7-nm FinFET Technology.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2023

Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., March, 2023

Energy-Efficient VLSI Squarer Unit with Optimized Radix-2<sup>m</sup> Multiplication Logic.
Circuits Syst. Signal Process., February, 2023

ReAdapt: A Reconfigurable Datapath for Runtime Energy-Quality Scalable Adaptive Filters.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023

AxPPA: Approximate Parallel Prefix Adders.
IEEE Trans. Very Large Scale Integr. Syst., 2023

AV1 Residual Syntax Elements Assessment and Efficient VLSI Architecture.
Proceedings of the 36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2023

Exploring Security Threats by Hardware-Faults in Approximate Arithmetic Computing.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Architectural Exploration for Energy-Efficient LMS and NLMS Adaptive Filters VLSI Design.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

An Energy-Efficient StEFCal VLSI Design with Approximate Squarer and Divider Units.
Proceedings of the 14th IEEE Latin America Symposium on Circuits and System, 2023

Analysis of AV1 Arithmetic Decoder Design Space with a Novel Multi-Boolean Approach.
Proceedings of the 14th IEEE Latin America Symposium on Circuits and System, 2023

LEX - A Cell Switching Arcs Extractor: A Simple SPICE-Input Interface for Electrical Characterization.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Accuracy-, Delay- and Area-Driven Evaluation of Lower-Part Approximate Parallel Prefix Adder.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

New Energy-Efficient 3-2 and 4-2 Approximate Adder Compressors Topologies.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

An Optimized VLSI Exponential Unit Design Exploring Efficient Arithmetic Operation Strategies.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

An Ultra Low-Energy VLSI Approximate Discrete Haar Wavelet Transform for ECG Data Compression.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

GPU Acceleration of MIP Intra Prediction in VVC.
Proceedings of the 31st European Signal Processing Conference, 2023

AxASRE: A Novel Approach to Approximate Adder Synthesis Results Estimation.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

2022
FastInter360: A Fast Inter Mode Decision for HEVC 360 Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2022

Bridging the Gap Between Voltage Over-Scaling and Joint Hardware Accelerator-Algorithm Closed-Loop.
IEEE Trans. Circuits Syst. Video Technol., 2022

Design of High-IF Discrete-Time Receivers for IoT: Demystifying Aliasing Trade-Offs.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Energy-Quality Scalable Design Space Exploration of Approximate FFT Hardware Architectures.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

C2PAx: Complexity-Aware Constant Parameter Approximation for Energy-Efficient Tree-Based Machine Learning Accelerators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A Framework for Crossing Temperature-Induced Timing Errors Underlying Hardware Accelerators to the Algorithm and Application Layers.
IEEE Trans. Computers, 2022

A framework for designing power-efficient inference accelerators in tree-based learning applications.
Eng. Appl. Artif. Intell., 2022

Low-Power High-Throughput Architecture for AV1 Arithmetic Decoder.
IEEE Des. Test, 2022

Power-Saving 8K Real-Time AV1 Arithmetic Encoder Architecture.
IEEE Des. Test, 2022

The 4-2 Fused Adder-Subtractor Compressor for Low-Power Butterfly-Based Hardware Architectures.
Circuits Syst. Signal Process., 2022

Low-Voltage, Low-Area, nW-Power CMOS Digital-Based Biosignal Amplifier.
IEEE Access, 2022

Novel Light Field Encoding Framework Based on Optical Flow and Phase Correlation.
Proceedings of the WebMedia '22: Brazilian Symposium on Multimedia and Web, Curitiba, Brazil, November 7, 2022

Designing a 9.3μW Low-Power Time-to-Digital Converter (TDC) for a Time Assisted SAR ADC.
Proceedings of the 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2022

Time Assisted SAR ADC with Bit-guess and Digital Error Correction.
Proceedings of the 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2022

Area and Power Efficient 8K Real-Time Design for AV1 Arithmetic Decoding.
Proceedings of the Picture Coding Symposium, 2022

Power-Throughput Trade-off Analysis for a Novel Multi-Boolean AV1 Arithmetic Encoder Design.
Proceedings of the Picture Coding Symposium, 2022

Improved Approximate Multipliers for Single-Precision Floating-Point Hardware Design.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Hybrid Comparator and Window Switching Scheme for low-power SAR ADC.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

On the Netlist Gate-level Pruning for Tree-based Machine Learning Accelerators.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Mitigating Asynchronous QDI Drawbacks on MAC Operators with Approximate Multipliers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

GPU-Acceleration of Affine Prediction in the Versatile Video Coding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Video Decoder Improvements with Near-Data Speculative Motion Compensation Processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

AxRSU: Approximate Radix-4 Squarer Unit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Discrete Haar Wavelet Transform Hardware Design for Energy-Efficient Image Watermarking.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Exploring Approximate Arithmetic Units for a Power-Efficient Kalman Gain VLSI Design.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

An Efficient Exponential Unit Designed in VLSI CMOS with Custom Operators.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

AppGNN: Approximation-Aware Functional Reverse Engineering Using Graph Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

2021
Architectural Exploration for Energy-Efficient Fixed-Point Kalman Filter VLSI Design.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Energy-Throughput Configurable Design for Video Processing Binary Arithmetic Encoder.
IEEE Trans. Circuits Syst. Video Technol., 2021

A 300mV-Supply, Sub-nW-Power Digital-Based Operational Transconductance Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

An Energy-Efficient Haar Wavelet Transform Architecture for Respiratory Signal Processing.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

On the Resiliency of NCFET Circuits Against Voltage Over-Scaling.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Fixed-Point NLMS and IPNLMS VLSI Architectures for Accurate FECG and FHR Processing.
IEEE Trans. Biomed. Circuits Syst., 2021

Low-energy motion estimation memory system with dynamic management.
J. Real Time Image Process., 2021

Coding mode decision algorithm for fast HEVC transrating using heuristics and machine learning.
J. Real Time Image Process., 2021

Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors.
IET Comput. Digit. Tech., 2021

Exploring NLMS-Based Adaptive Filter Hardware Architectures for Eliminating Power Line Interference in EEG Signals.
Circuits Syst. Signal Process., 2021

A 300mV-Supply, 144nW-Power, 0.03mm<sup>2</sup>-Area, 0.2-PEF Digital-Based Biomedical Signal Amplifier in 180nm CMOS.
Proceedings of the IEEE International Symposium on Medical Measurements and Applications, 2021

Exploring Approximate Adders for Power-Efficient Harmonics Elimination Hardware Architectures.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021

A Power-Efficient FFT Hardware Architecture Exploiting Approximate Adders.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021

Fast Logic Optimization Using Decision Trees.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Quality and Complexity Assessment of Learning-Based Image Compression Solutions.
Proceedings of the 2021 IEEE International Conference on Image Processing, 2021

Boosting the Efficiency of the Harmonics Elimination VLSI Architecture by Arithmetic Approximations.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

LSTM-only Model for Low-complexity HR Estimation from Wrist PPG.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021


2020
A Cross-Layer Gate-Level-to-Application Co-Simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders.
IEEE Trans. Circuits Syst. Video Technol., 2020

Residual Syntax Elements Analysis and Design Targeting High-Throughput HEVC CABAC.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Binary CorNET: Accelerator for HR Estimation From Wrist-PPG.
IEEE Trans. Biomed. Circuits Syst., 2020

Exploring high-order adder compressors for power reduction in sum of absolute differences architectures for real-time UHD video encoding.
J. Real Time Image Process., 2020

An Energy-Efficient and Approximate Accelerator Design for Real-Time Canny Edge Detection.
Circuits Syst. Signal Process., 2020

Power-Efficient Approximate Newton-Raphson Integer Divider Applied to NLMS Adaptive Filter for High-Quality Interference Cancelling.
Circuits Syst. Signal Process., 2020

ERP-Based CTU Splitting Early Termination for Intra Prediction of 360 videos.
Proceedings of the 2020 IEEE International Conference on Visual Communications and Image Processing, 2020

Evaluation of Cache-Based Memory Hierarchy for HEVC Video Decoding.
Proceedings of the 33rd Symposium on Integrated Circuits and Systems Design, 2020

Performance and Variability Trade-offs of CMOS PTAT Generator Topologies for Voltage Reference Applications.
Proceedings of the 33rd Symposium on Integrated Circuits and Systems Design, 2020

Low-Voltage Dynamic Comparator with Bulk-Driven Floating Inverter Amplifier.
Proceedings of the 33rd Symposium on Integrated Circuits and Systems Design, 2020

Improving the Partial Product Tree Compression on Signed Radix-2<sup>m</sup> Parallel Multipliers.
Proceedings of the 18th IEEE International New Circuits and Systems Conference, 2020

Optimizing the Montgomery Modular Multiplier for a Power- and Area-Efficient Hardware Architecture.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Combining m=2 Multipliers and Adder Compressors for Power Efficient Radix-4 Butterfly.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Evaluating Cell Library Sizing Methodologies for Ultra-Low Power Near-Threshold Operation in Bulk CMOS.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

Optimizing Iterative-based Dividers for an Efficient Natural Logarithm Operator Design.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

Approximate SATD Hardware Accelerator Using the 8 × 8 Hadamard Transform.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

Energy-Efficient Haar Transform Architectures Using Efficient Addition Schemes.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

A 40 nW 32.7 kHz CMOS Relaxation Oscillator with Comparator Offset Cancellation for Ultra-Low Power applications.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

An Efficient N-bit 8-2 Adder Compressor with a Constant Internal Carry Propagation Delay.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

Review on the Evolution of Low-power and Highly-linear Time-to-Digital Converters - TDC.
Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems, 2020

Leveraging QDI Robustness to Simplify the Design of IoT Circuits.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

VLSI Design of Tree-Based Inference for Low-Power Learning Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Exploring NLMS and IPNLMS Adaptive Filtering VLSI Hardware Architectures for Robust EEG Signal Artifacts Elimination.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Exploring Efficient Adder Compressors for Power-Efficient Sum of Squared Differences Design.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

The Radix-2<sup>m</sup> Squared Multiplier.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

An Efficient NLMS-based VLSI Architecture for Robust FECG Extraction and FHR Processing.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

2019
Hybrid Scratchpad Video Memory Architecture for Energy-Efficient Parallel HEVC.
IEEE Trans. Circuits Syst. Video Technol., 2019

Fast Coding Unit Partition Decision for HEVC Using Support Vector Machines.
IEEE Trans. Circuits Syst. Video Technol., 2019

A 0.3-1.2 V Schottky-Based CMOS ZTC Voltage Reference.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Design Methodology to Explore Hybrid Approximate Adders for Energy-Efficient Image and Video Processing Accelerators.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Power-, Area-, and Compression-Efficient Eight-Point Approximate 2-D Discrete Tchebichef Transform Hardware Design Combining Truncation Pruning and Efficient Transposition Buffers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Wideband Low-Noise Variable-Gain Amplifier With a 3.4 dB NF and up to 45 dB Gain Tuning Range in 130-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Energy-aware cache hierarchy assessment targeting HEVC encoder execution.
J. Real Time Image Process., 2019

Improving devices communication in Industry 4.0 wireless networks.
Eng. Appl. Artif. Intell., 2019

A sub-1mA highly linear inductorless wideband LNA with low IP3 sensitivity to variability for IoT applications.
Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, 2019

Energy Savings with Non-Volatile Memory System for High Definition Video Encoders.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

Maximizing the Power-Efficiency of the Approximate Pruned Modified Rounded DCT Exploiting Approximate Adder Compressors.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

Exploring Motion Vector Cost with Partial Distortion Elimination in Sum of Absolute Differences for HEVC Integer Motion Estimation.
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019

HEVC Interpolation Filter Architecture Using Hybrid Encoding Arithmetic Operators.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Maximizing Side Channel Attack-Resistance and Energy-Efficiency of the STTL Combining Multi-Vt Transistors with Current and Capacitance Balancing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

A 300mV-Supply, 2nW-Power, 80pF-Load CMOS Digital-Based OTA for IoT Interfaces.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Approximate Sum of Absolute Transformed Differences Hardware Accelerator.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A Configurable Pruning Gaussian Image Filter for Energy-Efficient Edge Detection.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A 0.6 V Current Reference Based on the MOSFET Forward-Body-Biased ZTC Condition.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Exploring Architectural Solutions for an Energy-Efficient Kalman Filter Gain Realization.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Low power 380μW Energy Efficient 1.8 GHz Digitally Controlled Oscillator for IoT Applications.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Real-time HR Estimation from wrist PPG using Binary LSTMs.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

2018
A 0.12-0.4 V, Versatile 3-Transistor CMOS Voltage Reference for Ultra-Low Power Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

40-nm CMOS Wideband High-IF Receiver Using a Modified Charge-Sharing Bandpass Filter to Boost Q-Factor.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

Improving network resources allocation in smart cities video surveillance.
Comput. Networks, 2018

A Charge-Sharing Bandpass Filter Topology with Boosted Q-Factor in 40-NM CMOS.
Proceedings of the 31st Symposium on Integrated Circuits and Systems Design, 2018

Exploiting Partial Distortion Elimination in the Sum of Absolute Differences for Energy-Efficient HEVC Integer Motion Estimation.
Proceedings of the 31st Symposium on Integrated Circuits and Systems Design, 2018

A Fixed-Point Natural Logarithm Approximation Hardware Design Using Taylor Series.
Proceedings of the 2018 New Generation of CAS, 2018

Low-Power HEVC 8-point 2-D Discrete Cosine Transform Hardware Using Adder Compressors.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

Exploring power-performance-quality tradeoff of approximate adders for energy efficient sobel filtering.
Proceedings of the 9th IEEE Latin American Symposium on Circuits & Systems, 2018

High-Throughput Binary Arithmetic Encoder using Multiple-Bypass Bins Processing for HEVC CABAC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Exploring the Impact of Soft Errors on NoC-based Multiprocessor Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

HEVC Residual Syntax Elements Generation Architecture for High-Throughput CABAC Design.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Learning-Based Complexity Reduction and Scaling for HEVC Encoders.
Proceedings of the 2018 IEEE International Conference on Acoustics, 2018

2017
Power-Efficient Sum of Absolute Differences Hardware Architecture Using Adder Compressors for Integer Motion Estimation Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Picowatt, 0.45-0.6 V Self-Biased Subthreshold CMOS Voltage Reference.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Complexity control of HEVC encoders targeting real-time constraints.
J. Real Time Image Process., 2017

Novel multiple bypass bins scheme for low-power UHD video processing HEVC binary arithmetic encoder architecture.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

A power-predictive environment for fast and power-aware ASIC-based FIR filter design.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

Estimation methods for static noise margins in CMOS subthreshold logic circuits.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

Low-power multi-size HEVC DCT architecture proposal for QFHD video processing.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

Low power IEEE 802.11ah receiver system-level design aiming for IoT applications.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

Low-power HEVC binarizer architecture for the CABAC block targeting UHD video processing.
Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, 2017

Pruning and approximation of coefficients for power-efficient 2-D Discrete Tchebichef Transform.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

An ultra-low power high-order temperature- compensated CMOS voltage reference.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

Data compression and decompression Integrated Circuit for AC Power Quality data.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

A fully integrated CMOS 2.4GHz and 24dBm linear power amplifier.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

A power-efficient 4-2 Adder Compressor topology.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

A 90% efficiency 60 mW MPPT switched capacitor DC - DC converter for photovoltaic energy harvesting aiming for IoT applications.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

A 0.45 V, 93 pW temperature-compensated CMOS voltage reference.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

A high IIP3 6.5 mW self-biased 0.3-3 GHz small area LNA.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

A sub-1 V, nanopower, ZTC based zero-VT temperature-compensated current reference.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Using adder and subtractor compressors to sum of absolute transformed differences architecture for low-power video encoding.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Exploring the combination of number of bits and number of iterations for a power-efficient fixed-point CORDIC implementation.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Using efficient adder compressors with a split-radix butterfly hardware architecture for low-power IoT smart sensors.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Physical implementation of an ASIC-oriented SRAM-based viterbi decoder.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Framework-based arithmetic core generation to explore ASIC-based parallel binary multipliers.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Improved goldschmidt algorithm for fast and energy-efficient fixed-point divider.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Exploiting absolute arithmetic for power-efficient sum of absolute differences.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Performance and energy consumption analysis of the X265 video encoder.
Proceedings of the 25th European Signal Processing Conference, 2017

2016
Improving QoS in multi-operator cellular networks.
Proceedings of the 12th IEEE International Conference on Wireless and Mobile Computing, 2016

A 0.7V Fully Differential First Order GZTC-C filter.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A novel pruned-based algorithm for energy-efficient SATD operation in the HEVC coding.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

Low-power hardware design for the HEVC Binary Arithmetic Encoder targeting 8K videos.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A 450 mV supply self-biased wideband inductorless balun LNA for sub-GHz applications.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A 0.3 V, high-PSRR, picowatt NMOS-only voltage reference using zero-VT active loads.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

Design and analysis of the HF-RISC processor targeting voltage scaling applications.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

Complexity-scalable HEVC encoding.
Proceedings of the 2016 Picture Coding Symposium, 2016

Adjusting video tiling to available resources in a per-frame basis in High Efficiency Video Coding.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

A Resources Sharing Architecture for Heterogeneous Wireless Cellular Networks.
Proceedings of the 41st IEEE Conference on Local Computer Networks, 2016

Nano-watt 0.3 V supply resistorless voltage reference with Schottky diode.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

CMOS RF class-E power amplifier with power control.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

Exploiting approximate adder circuits for power-efficient Gaussian and Gradient filters for Canny edge detector algorithm.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

0.3 V supply, 17 ppm/°C 3-transistor picowatt voltage reference.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

Memory access profiling for HEVC encoders.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

A 90 dB PSRR, 4 dBm EMI resistant MOSFET-Only Voltage Reference.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

1.5 ppm/°C nano-Watt resistorless MOS-only voltage reference.
Proceedings of the IEEE 7th Latin American Symposium on Circuits & Systems, 2016

Energy-aware cache assessment of HEVC decoding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Ultra-low voltage wideband inductorless balun LNA with high gain and high IP2 for sub-GHz applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Segmentation and classification of melanocytic skin lesions using local and contextual features.
Proceedings of the 2016 IEEE International Conference on Image Processing, 2016

System energy analysis for shared memory multiprocessing applications.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

A power-efficient imprecise radix-4 multiplier applied to high resolution audio processing.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Energy efficiency evaluation of the pulse shapes and modulation techniques for IR-UWB in WBANs.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Memory energy consumption analyzer for video encoder hardware architectures.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

2015
A Reconfigurable Hardware Architecture for Fractional Pixel Interpolation in High Efficiency Video Coding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

CMOS Transconductor Analysis for Low Temperature Sensitivity Based on ZTC MOSFET Condition.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

Designing CMOS for Near-Threshold Minimum-Energy Operation and Extremely Wide V-F Scaling.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

A 2-decades Wideband Low-Noise Amplifier with High Gain and ESD Protection.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

0.5 V Supply Voltage Reference Based on the MOSFET ZTC Condition.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

0.5 V Supply Resistorless Voltage Reference for Low Voltage Applications.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

Wideband Low Noise Variable Gain Amplifier.
Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, 2015

Near-threshold computing for very wide frequency scaling: Approximate adders to rescue performance.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Approximate adder synthesis for area- and energy-efficient FIR filters in CMOS VLSI.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Enhancing a HEVC interpolation filter hardware architecture with efficient adder compressors.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

EMI resisting MOSFET-Only Voltage Reference based on the ZTC condition.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Resistorless switched-capacitor current reference based on the MOSFET ZTC condition.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

MOS-only M-2M DAC for ultra-low voltage applications.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Area-oriented iterative method for Design Space Exploration with High-Level Synthesis.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Nanoscale FinFET global parameter extraction for the BSIM-CMG model.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

A CMOS low noise transconductance amplifier for 1-6 GHz bands.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

High compression ratio algorithm for power quality signals.
Proceedings of the 24th IEEE International Symposium on Industrial Electronics, 2015

Rate-distortion and energy performance of HEVC and H.264/AVC encoders: A comparative analysis.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

0.7 V supply self-biased nanoWatt MOS-only threshold voltage monitor.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Effective device electrical parameter extraction of nanoscale FinFETs: Challenges and results.
Proceedings of the 27th International Conference on Microelectronics, 2015

Area efficient and high throughput CABAC encoder architecture for HEVC.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Design and linearity analysis of a M-2M DAC for very low supply voltage.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

A power controlled RF CMOS class-E PA with 43% maximum efficiency in 2.2 GHz.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Energy-efficient Gaussian filter for image processing using approximate adder circuits.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

A deblocking filter hardware architecture for the high efficiency video coding standard.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Approximation-aware Multi-Level Cells STT-RAM cache architecture.
Proceedings of the 2015 International Conference on Compilers, 2015

2014
Parallelization of Full Search Motion Estimation Algorithm for Parallel and Distributed Platforms.
Int. J. Parallel Program., 2014

Self-biased CMOS Current Reference based on the ZTC Operation Condition.
Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, 2014

High Linearity and Large Output Swing Sub-Hz Pre-amplifier for Portable Biomedical Applications.
Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, 2014

Sub-1 V Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor Circuit.
Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, 2014

A CMOS Down-Conversion Mixer with High IIP2 and IIP3 for Multi-Band and Multiple Standards.
Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, 2014

Rate-distortion and energy performance of HEVC video encoders.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

0.7 V supply, 8 nW, 8 ppm/°C resistorless sub-bandgap voltage reference.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

0.9 V, 5 nW, 9 ppm/<sup>o</sup>C resistorless sub-bandgap voltage reference in 0.18μm CMOS.
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014

Content-driven memory pressure balancing and video memory power management for parallel high efficiency video coding.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

2.3 ppm/°c 40 nW MOSFET-only voltage reference.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Energy-efficient architecture for advanced video memory.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Run-time accelerator binding for tile-based mixed-grained reconfigurable architectures.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

dSVM: Energy-efficient distributed Scratchpad Video Memory Architecture for the next-generation High Efficiency Video Coding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Model Predictive Hierarchical Rate Control With Markov Decision Process for Multiview Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2013

Iterative random search: a new local minima resistant algorithm for motion estimation in high-definition videos.
Multim. Tools Appl., 2013

A reduced memory bandwidth and high throughput HDTV motion compensation decoder for H.264/AVC High 4: 2: 2 profile.
J. Real Time Image Process., 2013

Energy-speed exploration for very-wide range of dynamic V-F scaling.
Proceedings of the 26th Symposium on Integrated Circuits and Systems Design, 2013

A resistorless switched bandgap voltage reference with offset cancellation.
Proceedings of the 26th Symposium on Integrated Circuits and Systems Design, 2013

Adaptive content-based Tile partitioning algorithm for the HEVC standard.
Proceedings of the 30th Picture Coding Symposium, 2013

61 pJ/sample near-threshold notch filter with pole-radius variation.
Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems, 2013

A resistorless switched bandgap reference topology.
Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems, 2013

Voltage reference design using 1 V power supply in 0.13 µm CMOS technology.
Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems, 2013

Content-adaptive reference frame compression based on intra-frame prediction for multiview video coding.
Proceedings of the IEEE International Conference on Image Processing, 2013

High-throughput interpolation hardware architecture with coarse-grained reconfigurable datapaths for HEVC.
Proceedings of the IEEE International Conference on Image Processing, 2013

RF system level design for a Spectrum Sensing Receiver.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

A fast EMD-based technique for Power Quality signals decomposition, compression, and time-frequency analysis.
Proceedings of the 18th International Conference on Digital Signal Processing, 2013

Energy-efficient memory hierarchy for motion and disparity estimation in multiview video coding.
Proceedings of the Design, Automation and Test in Europe, 2013

3D Video Coding for Embedded Devices - Energy Efficient Algorithms and Architectures.
Springer, ISBN: 978-1-4614-6758-8, 2013

2012
Low-Complexity Hierarchical Mode Decision Algorithms Targeting VLSI Architecture Design for the H.264/AVC Video Encoder.
VLSI Design, 2012

DMPDS: A Fast Motion Estimation Algorithm Targeting High Resolution Videos and Its FPGA Implementation.
Int. J. Reconfigurable Comput., 2012

Algorithm and Hardware Design of a Fast Intra Frame Mode Decision Module for H.264/AVC Encoders.
Int. J. Reconfigurable Comput., 2012

A low-cost and high efficiency entropy encoder architecture for H.264/AVC.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

A 50MHz-lGHz wideband low noise amplifier in 130nm CMOS technology.
Proceedings of the 25th Symposium on Integrated Circuits and Systems Design, 2012

A Model Predictive Controller for Frame-Level Rate Control in Multiview Video Coding.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

Spread and Iterative Search: A High Quality Motion Estimation Algorithm for High Definition Videos and Its VLSI Design.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

Motion Vectors Merging: Low Complexity Prediction Unit Decision Heuristic for the Inter-prediction of HEVC Encoders.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

A memory aware and multiplierless VLSI architecture for the complete Intra Prediction of the HEVC emerging standard.
Proceedings of the 19th IEEE International Conference on Image Processing, 2012

Real-time block matching motion estimation onto GPGPU.
Proceedings of the 19th IEEE International Conference on Image Processing, 2012

Design of a capacitorless low-dropout voltage regulator with fast load regulation in 130nm CMOS.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Keynote: "Design space exploration and run-time resource management in the embedded multi-core era".
Proceedings of the IEEE 10th Symposium on Embedded Systems for Real-time Multimedia, 2012

Adaptive power management of on-chip video memory for multiview video coding.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Two fast multi-point search algorithms for high quality motion estimation in high resolution videos.
Int. J. Inf. Technol. Commun. Convergence, 2011

An efficient ME architecture for high definition videos using the new MPDS algorithm.
Proceedings of the 24th Symposium on Integrated Circuits and Systems Design, 2011

Applying CUDA Architecture to Accelerate Full Search Block Matching Algorithm for High Performance Motion Estimation in Video Encoding.
Proceedings of the 23rd International Symposium on Computer Architecture and High Performance Computing, 2011

A high throughput H.264/AVC intra-frame encoding loop architecture for HD1080p.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

SHBS: A heuristic for fast inter mode decision of H.264/AVC standard targeting VLSI design.
Proceedings of the 2011 IEEE International Conference on Multimedia and Expo, 2011

A multi-level dynamic complexity reduction scheme for multiview video coding.
Proceedings of the 18th IEEE International Conference on Image Processing, 2011

A low-power memory architecture with application-aware power management for motion & disparity estimation in Multiview Video Coding.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Multi-level pipelined parallel hardware architecture for high throughput motion and disparity estimation in Multiview Video Coding.
Proceedings of the Design, Automation and Test in Europe, 2011

Run-time adaptive energy-aware motion and disparity estimation in multiview video coding.
Proceedings of the 48th Design Automation Conference, 2011

2010
Efficient Dedicated Multiplication Blocks for 2's Complement Radix-2m Array Multipliers.
J. Comput., 2010

Timing and interface communication analysis of H.264/AVC encoder using SystemC model.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Fast forward and inverse transforms for the H.264/AVC standard using hierarchical adder compressors.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

Performance enhancement of H.264/AVC intra frame prediction hardware using efficient 4-2 and 5-2 adder-compressors.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

A 720p H.264/AVC decoder ASIC implementation for digital television set-top boxes.
Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, 2010

An adaptive early skip mode decision scheme for multiview video coding.
Proceedings of the Picture Coding Symposium, 2010

Power-aware complexity-scalable multiview video coding for mobile devices.
Proceedings of the Picture Coding Symposium, 2010

Heterogeneous integration: Beyond CMOS - coping with variability at the end of the CMOS roadmap.
Proceedings of the 11th Latin American Test Workshop, 2010

Gop structure adaptive to the video content for efficient H.264/AVC encoding.
Proceedings of the International Conference on Image Processing, 2010

High performance architectures for the arithmetic encoder of the H.264/AVC CABAC entropy coder.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A high throughput CAVLC hardware architecture with parallel coefficients processing for HDTV H.264/AVC enconding.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

A low-cost hardware architecture binarizer design for the H.264/AVC CABAC entropy coding.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Homogeneity and distortion-based intra mode decision architecture for H.264/AVC.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
A DC offset and CMRR analysis in a CMOS 0.35 µm operational transconductance amplifier using Pelgrom's area/accuracy tradeoff.
Microelectron. J., 2009

Techniques for Architecture Design for Binary Arithmetic Decoder Engines Based on Bitstream Flow Analysis.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Challenges and Emerging Technologies for System Integration beyond the End of the Roadmap of Nano-CMOS.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

High performance and low cost architecture for H.264/AVC CAVLD targeting HDTV.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

High performance motion estimation architecture using efficient adder-compressors.
Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, 2009

A High Performance H.264 Deblocking Filter.
Proceedings of the Advances in Image and Video Technology, Third Pacific Rim Symposium, 2009

A method for HW functional verification through HW/SW co-simulation in complex systems: H.264/AVC decoder as case study.
Proceedings of the 10th Latin American Test Workshop, 2009

Low Cost and Memoryless CAVLD Architecture for H.264/AVC Decoder.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

Hardware Design of the H.264/AVC Variable Block Size Motion Estimation for Real-Time 1080HD Video Encoding.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

A real time H.264/AVC intra frame prediction hardware architecture for HDTV 1080P video.
Proceedings of the 2009 IEEE International Conference on Multimedia and Expo, 2009

High throughput scalable Motion Compensation architecture for H.264/SVC video coding standard.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Design flow for the generation of optimized FIR filters.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Power efficient architecture for motion estimation using the QSDS-DIC algorithm.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

2008
High throughput architecture for H.264/AVC motion compensation sample interpolator for HDTV.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

Architectural design for the new QSDS with dynamic iteration control motion estimation algorithm targeting HDTV.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

A wide band CMOS differential voltage-controlled ring oscillator.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

A novel hardware architecture design for binary arithmetic decoder engines based on bitstream flow analysis.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

A 40mhz 70db gain variable gain amplifier design using the gm/id design method.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

Early voltage and saturation voltage improvement in deep sub-micron technologies using associations of transistors.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

HP422-MoCHA: A H.264/AVC High Profile motion compensation architecture for HDTV.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A high throughput and low cost diamond search architecture for HDTV motion estimation.
Proceedings of the 2008 IEEE International Conference on Multimedia and Expo, 2008

2007
Multiplierless and fully pipelined JPEG compression soft IP targeting FPGAs.
Microprocess. Microsystems, 2007

Design and FPGA Prototyping of a H.264/AVC Main Profile.
J. Braz. Comput. Soc., 2007

A new array architecture for signed multiplication using Gray encoded radix-2<sup>m</sup> operands.
Integr., 2007

An HDTV H.264 deblocking filter in FPGA with RGB video output.
Proceedings of the IFIP VLSI-SoC 2007, 2007

A fully integrated CMOS RF front-end for a multi-band analog mixed-signal interface.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

Trim range limited by noise in bandgap voltage references.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

Design of a digital FM demodulator based on a 2nddegree order all-digital phase-locked loop.
Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, 2007

FPGA Prototyping Strategy for a H.264/AVC Video Decoder.
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007

Motion Compensation Hardware Accelerator Architecture for H.264/AVC.
Proceedings of the Advances in Image and Video Technology, Second Pacific Rim Symposium, 2007

A Pipelined 8x8 2-D Forward DCT Hardware Architecture for H.264/AVC High Profile Encoder.
Proceedings of the Advances in Image and Video Technology, Second Pacific Rim Symposium, 2007

High Throughput Hardware Architecture for Motion Estimation with 4: 1 Pel Subsampling Targeting Digital Television Applications.
Proceedings of the Advances in Image and Video Technology, Second Pacific Rim Symposium, 2007

Memory Hierarchy Targeting Bi-Predictive Motion Compensation for H.264/AVC Decoder.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

A High Swing Low Power CMOS Differential Voltage-Controlled Ring Oscillator.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

A Design Methodology for Matching Improvement in Bandgap References.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

A 4-Bits Trimmed CMOS Bandgap Reference with an Improved Matching Modeling Design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

MoCHA: a Bi-Predictive Motion Compensation Hardware for H.264/AVC Decoder Targeting HDTV.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

High Throughput Architecture for Forward Transforms Module of H.264/AVC Video Coding Standard.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

A 1.4GHz Upconversion Mixer Design Using the gm/ID Method Suitable for a Multi-Band Analog Interface.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Impact of Noise on Trim Circuits for Bandgap Voltage References.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

2006
Architecture of an HDTV Intraframe Predictor for a H.264 Decoder.
Proceedings of the IFIP VLSI-SoC 2006, 2006

A VHDL Generation Tool for Optimized Parallel FIR Filters.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Motion Compensation Decoder Architecture for H.264/AVC Main Profile Targeting HDTV.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Power constrained design optimization of analog circuits based on physical gm/ID characteristics.
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006

A band-pass Gm-C Filter design based on gm/ID methodology and characterization.
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006

A High Performance Parallel FIR Filters Generation Tool.
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006

A tool for automatic design of analog circuits based on gm/I<sub>D</sub> methodology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Reconfigurable analog interface for mixed signal SOC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

High throughput multitransform and multiparallelism IP for H.264/AVC video compression standard.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Track-and-Latch Comparator Design Using Associations of MOS Transistors and Characterization.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

High Throughput Architecture of JPEG Compressor for Color Images Targeting FPGAs.
Proceedings of the 13th IEEE International Conference on Electronics, 2006

High throughput architecture for H.264/AVC forward transforms block.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

FPGA Based Architectures for H. 264/AVC Video Compression Standard.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

FPGA Design of A H.264/AVC Main Profile Decoder for HDTV.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

2005
A Comparison of Layout Implementations of Pipelined and Non-Pipelined Signed Radix-4 Array Multiplier and Modified Booth Multiplier Architectures.
Proceedings of the VLSI-SoC: From Systems To Silicon, 2005

T-shaped association of transistors: modeling of multiple channel lengths and regular associations.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005

Design of a radix-2<sup>m</sup> hybrid array multiplier using carry save adder format.
Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, 2005

Reusing Traces in a Dynamic Conditional Execution Architecture.
Proceedings of the 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 2005

Accelerating a Multiprocessor Reconfigurable Architecture with Pipelined VLIW Units.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

A FPGA Based Design of a Multiplierless and Fully Pipelined JPEG Compressor.
Proceedings of the Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August, 2005

Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures.
Proceedings of the 2005 Design, 2005

2004
AC analysis of an inverter amplifier using minimum-length trapezoidal association of transistors.
Microelectron. Reliab., 2004

Analysis and design of amplifiers and comparators in CMOS 0.35 mum technology.
Microelectron. Reliab., 2004

Parallel color space converters for JPEG image compression.
Microelectron. Reliab., 2004

An improved synthesis method for low power hardwired FIR filters.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

Modeling and designing high performance analog reconfigurable circuits.
Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, 2004

ME64 - A Parallel Hardware Architecture for Motion Estimation Implemented in FPGA.
Proceedings of the Design Methods and Applications for Distributed Embedded Systems, 2004

Analog Signal Processing Reconfiguration for Systems-on-Chip Using a Fixed Analog Cell Approach.
Proceedings of the Field Programmable Logic and Application, 2004

Throughput and Reconfiguration Time Trade-Offs: From Static to Dynamic Reconfiguration in Dedicated Image Filters.
Proceedings of the Field Programmable Logic and Application, 2004

Design of Very Deep Pipelined Multipliers for FPGAs.
Proceedings of the 2004 Design, 2004

A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications.
Proceedings of the 2004 Design, 2004

2003
Gray Encoded Arithmetic Operators Applied to FFT and FIR Dedicated Datapaths.
Proceedings of the VLSI-SOC: From Systems to Chips, 2003

Applying the GM/ID method in the analysis and design of Miller Amplifier, Comparator and GM-C PASS-B.
Proceedings of the IFIP VLSI-SoC 2003, 2003

ME64 - A Highly Scalable Hardware Parallel Architecture Motion Estimation in FPGA.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

A Universal High-Performance Analog Interface for Signal Processing SOCs.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

A New Pipelined Array Architecture for Signed Multiplication.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

Complex Branch Profiling for Dynamic Conditional Execution.
Proceedings of the 15th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2003), 2003

An analog signal interface with constant performance for SoCs.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Exploiting reconfigurability for low-power control of embedded processors.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks.
Proceedings of the 2003 Design, 2003

2002
Techniques and Mechanisms for Dynamic Reconfiguration in an Image Processor.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

Pipelined Entropy Coders for JPEG Compression.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

A New Architecture for 2's Complement Gray Encoded Array Multiplier.
Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, 2002

A New Architecture for Signed Radix-2m Pure Array Multipliers.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

2001
Design of Functional Blocks for a Speech Recognition Portable System.
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001

Pipelined Fast 2-D DCT Architecture for JPEG Image Compression.
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001

Extending Sequencing Graphs for Reconfigurable Applications Modeling.
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001

Power Efficient Arithmetic Operand Encoding.
Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design, 2001

CMOS Mixed-signal Circuits Design on a Digital Array Using Minimum Transistors.
Proceedings of the SOC Design Methodologies, 2001

2000
Specification and design of an Ethernet Interface soft IP.
J. Braz. Comput. Soc., 2000

Modeling of Short Circuit Power Consumption Using Timing-Only Logic Cell Macromodels.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

A Generator of Trapezoidal Association of Transistors (TAT): Improving Analog Circuits in a Pre-Diffused Transistor Array.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

SisECO: Design of an Echo-Canceling IC for Base Band Modems.
Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, 2000

Analyzing Instruction Prefetch Schemes in Superscalar Architectures.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2000

A frame stream controller IP.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

TAT transistors on SOT array for mixed analog/digital applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

1999
Reconfigurable Computing: Viable Applications and Trends.
Proceedings of the VLSI: Systems on a Chip, 1999

OTA Amplifiers Design on Digital Sea-of-Transistors Array.
Proceedings of the 1999 Design, 1999

Dynamically Reconfigurable Architecture for Image Processor Applications.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Design of CMOS OTA amplifiers and oscillators in a digital sea-of-transistors array.
Proceedings of the 5th IEEE International Conference on Electronics, Circuits and Systems, 1998

1994
A Timing Model for VLSI CMOS Circuits Verification and Optimization.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1990
An experiment on PC-based automated extraction of electrical parameters for VLSI MOSFETs: Methods, algorithms, and implementation.
Microprocessing and Microprogramming, 1990


  Loading...