Laura Pozzi

Orcid: 0000-0003-1083-8782

Affiliations:
  • University of Lugano, Switzerland


According to our database1, Laura Pozzi authored at least 74 papers between 1997 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
HyperPUT: generating synthetic faulty programs to challenge bug-finding tools.
Empir. Softw. Eng., April, 2024

SAT-based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs.
CoRR, 2024

2023
Graph Neural Networks for High-Level Synthesis Design Space Exploration.
ACM Trans. Design Autom. Electr. Syst., March, 2023

Multi-Metric SMT-Based Evaluation of Worst-Case-Error for Approximate Circuits.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

A Parametrizable Template for Approximate Logic Synthesis.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

SAT-MapIt: A SAT-based Modulo Scheduling Mapper for Coarse Grain Reconfigurable Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

SAT-MapIt: An Open Source Modulo Scheduling Mapper for Coarse Grain Reconfigurable Architectures.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

ErrorEval: an Open-Source Worst-Case-Error Evaluation Framework for Approximate Computing.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

2022
A Formal Framework for Maximum Error Estimation in Approximate Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

INCLASS: Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Cluster-Based Heuristic for High Level Synthesis Design Space Exploration.
IEEE Trans. Emerg. Top. Comput., 2021

Guest Editorial: IEEE TC Special Section on Compiler Optimizations for FPGA-Based Systems.
IEEE Trans. Computers, 2021

DB4HLS: A Database of High-Level Synthesis Design Space Explorations.
IEEE Embed. Syst. Lett., 2021

A Graph Deep Learning Framework for High-Level Synthesis Design Space Exploration.
CoRR, 2021

2020
Leveraging Prior Knowledge for Effective Design-Space Exploration in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Approximate Logic Synthesis: A Survey.
Proc. IEEE, 2020

Judiciously Spreading Approximation Among Arithmetic Components with Top-Down Inexact Hardware Design.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2020

2019
RegionSeeker: Automatically Identifying and Selecting Accelerators From Application Source Code.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-Signal Processing.
IEEE Embed. Syst. Lett., 2019

Compiler-Assisted Selection of Hardware Acceleration Candidates from Application Source Code.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Partition and Propagate: an Error Derivation Algorithm for the Design of Approximate Circuits.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Machine Learning Approach for Loop Unrolling Factor Prediction in High Level Synthesis.
Proceedings of the 2018 International Conference on High Performance Computing & Simulation, 2018

Lattice-Traversing Design Space Exploration for High Level Synthesis.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Circuit carving: A methodology for the design of approximate hardware.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

A partitioning strategy for exploring error-resilience in circuits: work-in-progress.
Proceedings of the International Conference on Compilers, 2018

2017
An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery.
ACM Trans. Embed. Comput. Syst., 2017

HEAL-WEAR: An Ultra-Low Power Heterogeneous System for Bio-Signal Analysis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

2016
Inexact-aware architecture design for ultra-low power bio-signal analysis.
IET Comput. Digit. Tech., 2016

A multi-core reconfigurable architecture for ultra-low power bio-signal analysis.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Maximum Convex Subgraphs Under I/O Constraint for Automatic Identification of Custom Instructions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

2013
An Effective Exact Algorithm and a New Upper Bound for the Number of Contacts in the Hydrophobic-Polar Two-Dimensional Lattice Model.
J. Comput. Biol., 2013

2012
Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable Arrays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

2011
EGRA: A Coarse Grained Reconfigurable Architectural Template.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Slack-aware scheduling on Coarse Grained Reconfigurable Arrays.
Proceedings of the Design, Automation and Test in Europe, 2011

2009
Evaluating Resistance of MCML Technology to Power Analysis Attacks Using a Simulation-Based Methodology.
Trans. Comput. Sci., 2009

Guest Editorial Special Section on the IEEE Symposium on Application Specific Processors 2008.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Heterogeneous coarse-grained processing elements: A template architecture for embedded processing acceleration.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Recurrence-Aware Instruction Set Selection for Extensible Embedded Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Design and Architectural Exploration of Expression-Grained Reconfigurable Arrays.
Proceedings of the IEEE Symposium on Application Specific Processors, 2008

Compiling custom instructions onto expression-grained reconfigurable architectures.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Introduction of Architecturally Visible Storage in Instruction Set Extensions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

A Simulation-Based Methodology for Evaluating the DPA-Resistance of Cryptographic Functional Units with Application to CMOS and MCML Technologies.
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007

A Study of Energy Saving in Customizable Processors.
Proceedings of the Embedded Computer Systems: Architectures, 2007

A future of customizable processors: are we there yet?
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Polynomial-time subgraph enumeration for automated instruction set extension.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A Retargetable Framework for Automated Discovery of Custom Instructions.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007

2006
Virtual memory window for application-specific reconfigurable coprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Exact and approximate algorithms for the extension of embedded processor instruction sets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Stream computations organized for reconfigurable execution.
Microprocess. Microsystems, 2006

Performance and Energy Benefits of Instruction Set Extensions in an FPGA Soft Core.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Combining algorithm exploration with instruction set design: a case study in elliptic curve cryptography.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Automatic identification of application-specific functional units with architecturally visible storage.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Code transformation strategies for extensible embedded processors.
Proceedings of the 2006 International Conference on Compilers, 2006

2005
Seamless Hardware-Software Integration in Reconfigurable Computing Systems.
IEEE Des. Test Comput., 2005

ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement.
Proceedings of the 2005 Design, 2005

Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

Exploiting pipelining to relax register-file port constraints of instruction-set extensions.
Proceedings of the 2005 International Conference on Compilers, 2005

2004
Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units.
Proceedings of the Software and Compilers for Embedded Systems, 8th International Workshop, 2004

Dynamic Prefetching in the Virtual Memory Window of Portable Reconfigurable Coprocessors.
Proceedings of the Field Programmable Logic and Application, 2004

Virtual Memory Window for a Portable Reconfigurable Cryptography Coprocessor.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004

Operating System Support for Interface Virtualisation of Reconfigurable Coprocessors.
Proceedings of the 2004 Design, 2004

Introduction of local memory elements in instruction set extensions.
Proceedings of the 41th Design Automation Conference, 2004

Programming Transparency and Portable Hardware Interfacing: Towards General-Purpose Reconfigurable Computing.
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004

2003
Automatic Application-Specific Instruction-Set Extensions Under Microarchitectural Constraints.
Int. J. Parallel Program., 2003

Automatic Instruction Set Extension and Utilization for Embedded Processors.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003

2002
Automatic Topology-Based Identification of Instruction-Set Extensions for Embedded Processors.
Proceedings of the 2002 Design, 2002

2001
Determining the Optimum Extended Instruction-Set Architecture for Application Specific Reconfigurable VLIW CPUs.
Proceedings of the 12th IEEE International Workshop on Rapid System Prototyping (RSP 2001), 2001

2000
Determining the optimum extended instruction-set architecture for application specific reconfigurable VLIW CPUs (poster abstract).
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000

1999
A DAG-Based Design Approach for Reconfigurable VLIW Processors.
Proceedings of the 1999 Design, 1999

1998
Minimizing the Application Time for Manufacturer Testing of FPGA (Abstract).
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998

1997
Configuration-Specific Test Pattern Extraction for Field Programmable Gate Arrays.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997


  Loading...