David Atienza

Orcid: 0000-0001-9536-4947

Affiliations:
  • Swiss Federal Institute of Technology in Lausanne, Switzerland


According to our database1, David Atienza authored at least 400 papers between 2003 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2022, "For contributions to the design of high-performance integrated systems and ultra-low power edge circuits and architectures".

IEEE Fellow

IEEE Fellow 2016, "For contributions to design methods and tools for multiprocessor systems on chip".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
SAT-Based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs.
ACM J. Emerg. Technol. Comput. Syst., July, 2024

An Energy Efficient Soft SIMD Microarchitecture and Its Application on Quantized CNNs.
IEEE Trans. Very Large Scale Integr. Syst., June, 2024

Decentralized Federated Learning for Epileptic Seizures Detection in Low-Power Wearable Systems.
IEEE Trans. Mob. Comput., May, 2024

CloudProphet: A Machine Learning-Based Performance Prediction for Public Clouds.
IEEE Trans. Sustain. Comput., 2024

Don't Think It Twice: Exploit Shift Invariance for Efficient Online Streaming Inference of CNNs.
CoRR, 2024

MetaWearS: A Shortcut in Wearable Systems Lifecycle with Only a Few Shots.
CoRR, 2024

DC is all you need: describing ReLU from a signal processing standpoint.
CoRR, 2024

Simulation of high-performance memory allocators.
CoRR, 2024

Scalable and RISC-V Programmable Near-Memory Computing Architectures for Edge Nodes.
CoRR, 2024

BiomedBench: A benchmark suite of TinyML biomedical applications for low-power wearables.
CoRR, 2024

How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance.
CoRR, 2024

Acoustical Features as Knee Health Biomarkers: A Critical Analysis.
CoRR, 2024

KID-PPG: Knowledge Informed Deep Learning for Extracting Heart Rate from a Smartwatch.
CoRR, 2024

STRELA: STReaming ELAstic CGRA Accelerator for Embedded Systems.
CoRR, 2024

SzCORE: A Seizure Community Open-source Research Evaluation framework for the validation of EEG-based automated seizure detection algorithms.
CoRR, 2024

TimEHR: Image-based Time Series Generation for Electronic Health Records.
CoRR, 2024

LionHeart: A Layer-based Mapping Framework for Heterogeneous Systems with Analog In-Memory Computing Tiles.
CoRR, 2024

X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller for the Exploration of Ultra-Low-Power Edge Accelerators.
CoRR, 2024

Combining general and personal models for epilepsy detection with hyperdimensional computing.
Artif. Intell. Medicine, 2024

TEE4EHR: Transformer event encoder for better representation learning in electronic health records.
Artif. Intell. Medicine, 2024

Accelerator-Driven Data Arrangement to Minimize Transformers Run-Time on Multi-Core Architectures.
Proceedings of the 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2024


Performance evaluation of acceleration of convolutional layers on OpenEdgeCGRA.
Proceedings of the 21st ACM International Conference on Computing Frontiers, 2024

2023
A semi-supervised algorithm for improving the consistency of crowdsourced datasets: The COVID-19 case study on respiratory disorder classification.
Comput. Methods Programs Biomed., November, 2023

Overflow-free Compute Memories for Edge AI Acceleration.
ACM Trans. Embed. Comput. Syst., October, 2023

Event-based sampled ECG morphology reconstruction through self-similarity.
Comput. Methods Programs Biomed., October, 2023

Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded Systems.
IEEE Trans. Computers, September, 2023

Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous Multi-Chip Processors.
IEEE Wirel. Commun., August, 2023

ALPINE: Analog In-Memory Acceleration With Tight Processor Integration for Deep Learning.
IEEE Trans. Computers, July, 2023

An Error-Based Approximation Sensing Circuit for Event-Triggered Low-Power Wearable Sensors.
IEEE J. Emerg. Sel. Topics Circuits Syst., June, 2023

Adaptive R-Peak Detection on Wearable ECG Sensors for High-Intensity Exercise.
IEEE Trans. Biomed. Eng., March, 2023

A Multimodal Dataset for Automatic Edge-AI Cough Detection.
Dataset, January, 2023

M2D2: Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities.
IEEE J. Biomed. Health Informatics, 2023

Bit-Line Computing for CNN Accelerators Co-Design in Edge AI Inference.
IEEE Trans. Emerg. Top. Comput., 2023

Thermal and Voltage-Aware Performance Management of 3-D MPSoCs With Flow Cell Arrays and Integrated SC Converters.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

Robust and IP-Protecting Vertical Federated Learning against Unexpected Quitting of Parties.
CoRR, 2023

Combining General and Personalized Models for Epilepsy Detection with Hyperdimensional Computing.
CoRR, 2023

Dynamic Scheduling for Event-Driven Embedded Industrial Applications.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Model-Based ISO 14971 Risk Management of EEG-Based Medical Devices.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

Importance of methodological choices in data manipulation for validating epileptic seizure detection models.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

A Multimodal Dataset for Automatic Edge-AI Cough Detection.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

Cross Layer Design for the Predictive Assessment of Technology-Enabled Architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Special Session: Challenges and Opportunities for Sustainable Multi-Scale Computing Systems.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

An Open-Hardware Coarse-Grained Reconfigurable Array for Edge Computing.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

Point-process-based Representation Learning for Electronic Health Records.
Proceedings of the IEEE EMBS International Conference on Biomedical and Health Informatics, 2023

System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

TiC-SAT: Tightly-Coupled Systolic Accelerator for Transformers.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

EpilepsyNet: Interpretable Self-Supervised Seizure Detection for Low-Power Wearable Systems.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
Anomaly Detection with Laser Heat Treatment Thermal Videos.
Dataset, May, 2022

MAGNETIC: Multi-Agent Machine Learning-Based Approach for Energy Efficient Dynamic Consolidation in Data Centers.
IEEE Trans. Serv. Comput., 2022

Machine-Learning Based Monitoring of Cognitive Workload in Rescue Missions With Drones.
IEEE J. Biomed. Health Informatics, 2022

Personalized Real-Time Federated Learning for Epileptic Seizure Detection.
IEEE J. Biomed. Health Informatics, 2022

3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Multiagent Reinforcement Learning for Hyperparameter Optimization of Convolutional Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

COCKTAIL: Multicore Co-Optimization Framework With Proactive Reliability Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing Servers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Real-Time EEG-Based Cognitive Workload Monitoring on Wearable Devices.
IEEE Trans. Biomed. Eng., 2022

CAFS: Cost-Aware Features Selection Method for Multimodal Stress Monitoring on Wearable Devices.
IEEE Trans. Biomed. Eng., 2022

A Self-Aware Epilepsy Monitoring System for Real-Time Epileptic Seizure Detection.
Mob. Networks Appl., 2022

A Hardware/Software Co-Design Vision for Deep Learning at the Edge.
IEEE Micro, 2022

Semiparametric Bayesian networks.
Inf. Sci., 2022

PyBNesian: An extensible python package for Bayesian networks.
Neurocomputing, 2022

A Soft SIMD Based Energy Efficient Computing Microarchitecture.
CoRR, 2022

Many-to-One Knowledge Distillation of Real-Time Epileptic Seizure Detection for Low-Power Wearable Internet of Things Systems.
CoRR, 2022

Hyperdimensional computing encoding for feature selection on the use case of epileptic seizure detection.
CoRR, 2022

Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Error Resilient In-Memory Computing Architecture for CNN Inference on the Edge.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Thermal and Power-Aware Run-time Performance Management of 3D MPSoCs with Integrated Flow Cell Arrays.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Exploration of Hyperdimensional Computing Strategies for Enhanced Learning on Epileptic Seizure Detection.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

INCLASS: Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

VWR2A: a very-wide-register reconfigurable-array architecture for low-power embedded devices.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

An Accuracy-Driven Compression Methodology to Derive Efficient Codebook-Based CNNs.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2022

ExG Signal Feature Selection Using Hyperdimensional Computing Encoding.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2022

The DeepHealth Toolkit: A Key European Free and Open-Source Software for Deep Learning and Computer Vision Ready to Exploit Heterogeneous HPC and Cloud Architectures.
Proceedings of the Technologies and Applications for Big Data Value, 2022

2021
ECG in High Intensity Exercise Dataset.
Dataset, November, 2021

Synthetic realistic noise-corrupted PPG database and noise generator for the evaluation of PPG denoising and delineation algorithms.
Dataset, June, 2021

Physiological signals labeler.
Dataset, April, 2021


The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms.
Dataset, February, 2021

The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms.
Dataset, February, 2021

ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets.
IEEE Trans. Sustain. Comput., 2021

EEG Correlates of Difficulty Levels in Dynamical Transitions of Simulated Flying and Mapping Tasks.
IEEE Trans. Hum. Mach. Syst., 2021

Real-Time Personalized Atrial Fibrillation Prediction on Multi-Core Wearable Sensors.
IEEE Trans. Emerg. Top. Comput., 2021

Editorial.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Genome Sequence Alignment - Design Space Exploration for Optimal Performance and Energy Architectures.
IEEE Trans. Computers, 2021

E<sup>2</sup>CNNs: Ensembles of Convolutional Neural Networks to Improve Robustness Against Memory Errors in Edge-Computing Devices.
IEEE Trans. Computers, 2021

EpilepsyGAN: Synthetic Epileptic Brain Activities With Privacy Preservation.
IEEE Trans. Biomed. Eng., 2021

MBioTracker: Multimodal Self-Aware Bio-Monitoring Wearable System for Online Workload Detection.
IEEE Trans. Biomed. Circuits Syst., 2021

Gem5-X: A Many-core Heterogeneous Simulation Platform for Architectural Exploration and Optimization.
ACM Trans. Archit. Code Optim., 2021

SPARE: A Spectral Peak Recovery Algorithm for PPG Signals Pulsewave Reconstruction in Multimodal Wearable Devices.
Sensors, 2021

Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives.
ACM Comput. Surv., 2021

Multi-Centroid Hyperdimensional Computing Approach for Epileptic Seizure Detection.
CoRR, 2021

ReLearn: A Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals.
CoRR, 2021

Interpreting deep learning models for epileptic seizure detection on EEG signals.
Artif. Intell. Medicine, 2021

Mobility-Aware Multi-Objective Task Allocation for Wireless Sensor Networks.
Proceedings of the IEEE Symposium Series on Computational Intelligence, 2021

A Flexible In-Memory Computing Architecture for Heterogeneously Quantized CNNs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Systematic Assessment of Hyperdimensional Computing for Epileptic Seizure Detection.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

ReBeatICG: Real-time Low-Complexity Beat-to-beat Impedance Cardiogram Delineation Algorithm.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

ReLearn: A Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals<sup>*</sup>.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Running Efficiently CNNs on the Edge Thanks to Hybrid SRAM-RRAM In-Memory Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021


Wearable and Continuous Prediction of Passage of Time Perception for Monitoring Mental Health.
Proceedings of the 34th IEEE International Symposium on Computer-Based Medical Systems, 2021

Self-Aware Anomaly-Detection for Epilepsy Monitoring on Low-Power Wearable Electrocardiographic Devices.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms.
Dataset, September, 2020

Analysis of Functional Errors Produced by Long-Term Workload-Dependent BTI Degradation in Ultralow Power Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Resource Management for Power-Constrained HEVC Transcoding Using Reinforcement Learning.
IEEE Trans. Parallel Distributed Syst., 2020

Modular Design and Optimization of Biomedical Applications for Ultralow Power Heterogeneous Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

BLADE: An in-Cache Computing Architecture for Edge Devices.
IEEE Trans. Computers, 2020

The RECIPE approach to challenges in deeply heterogeneous high performance systems.
Microprocess. Microsystems, 2020

Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems.
IEEE Des. Test, 2020

ISLPED 2020: An Experience of Virtual Conference during COVID-19 Time.
IEEE Des. Test, 2020

Self-Aware Machine Learning for Multimodal Workload Monitoring during Manual Labor on Edge Wearable Sensors.
IEEE Des. Test, 2020

Impact of Memory Voltage Scaling on Accuracy and Resilience of Deep Learning Based Edge Devices.
IEEE Des. Test, 2020

Graphene-based Wireless Agile Interconnects for Massive Heterogeneous Multi-chip Processors.
CoRR, 2020

The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms.
CoRR, 2020

Write Termination Circuits for RRAM: A Holistic Approach From Technology to Application Considerations.
IEEE Access, 2020

Message from the Technical Program Co-Chairs.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

A Hybrid Cache HW/SW Stack for Optimizing Neural Network Runtime, Power and Endurance.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

Enabling Optimal Power Generation of Flow Cell Arrays in 3D MPSoCs with On-Chip Switched Capacitor Converters.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

Noise-Resilient and Interpretable Epileptic Seizure Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Exploration Methodology for BTI-Induced Failures on RRAM-Based Edge AI Systems.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

Cognitive Workload Monitoring in Virtual Reality Based Rescue Missions with Drones.
Proceedings of the Virtual, Augmented and Mixed Reality. Design and Interaction, 2020

Towards Deeply Scaled 3D MPSoCs with Integrated Flow Cell Array Technology.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Robust Epileptic Seizure Detection on Wearable Systems with Reduced False-Alarm Rate.
Proceedings of the 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2020

An Event-Based System for Low-Power ECG QRS Complex Detection.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

RRAM-VAC: A Variability-Aware Controller for RRAM-based Memory Architectures.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Resource-Aware Distributed Epilepsy Monitoring Using Self-Awareness From Edge to Cloud.
IEEE Trans. Biomed. Circuits Syst., 2019

i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-Signal Processing.
IEEE Embed. Syst. Lett., 2019

Synthetic Epileptic Brain Activities Using Generative Adversarial Networks.
CoRR, 2019

Accelerating Inference on Binary Neural Networks with Digital RRAM Processing.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Gem5-X: A Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms.
Proceedings of the 2019 Spring Simulation Conference, 2019

Functionality Enhanced Memories for Edge-AI Embedded Systems.
Proceedings of the 19th Non-Volatile Memory Technology Symposium, 2019

BLADE: A BitLine Accelerator for Devices on the Edge.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

REWARD: Design, Optimization, and Evaluation of a Real-Time Relative-Energy Wearable R-Peak Detection Algorithm.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Multi-Modal Acute Stress Recognition Using Off-the-Shelf Wearable Devices.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Real-Time Cognitive Workload Monitoring Based on Machine Learning Using Physiological Signals in Rescue Missions.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Challenges in Deeply Heterogeneous High Performance Systems.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

A Self-Learning Methodology for Epileptic Seizure Detection with Minimally-Supervised Edge Labeling.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Enhancing Two-Phase Cooling Efficiency through Thermal-Aware Workload Mapping for Power-Hungry Servers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

MAMUT: Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A Fast, Reliable and Wide-Voltage-Range In-Memory Computing Architecture.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Machine Learning-Based Quality-Aware Power and Thermal Management of Multistream HEVC Encoding on Multicore Servers.
IEEE Trans. Parallel Distributed Syst., 2018

A Modular Low-Complexity ECG Delineation Algorithm for Real-Time Embedded Systems.
IEEE J. Biomed. Health Informatics, 2018

Integrating Heuristic and Machine-Learning Methods for Efficient Virtual Machine Allocation in Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

PowerCool: Simulation of Cooling and Powering of 3D MPSoCs with Integrated Flow Cell Arrays.
IEEE Trans. Computers, 2018

Online Obstructive Sleep Apnea Detection on Medical Wearable Sensors.
IEEE Trans. Biomed. Circuits Syst., 2018

Real-Time Event-Driven Classification Technique for Early Detection and Prevention of Myocardial Infarction on Wearable Systems.
IEEE Trans. Biomed. Circuits Syst., 2018

FlyJacket: An Upper Body Soft Exoskeleton for Immersive Drone Control.
IEEE Robotics Autom. Lett., 2018

Exploring manycore architectures for next-generation HPC systems through the MANGO approach.
Microprocess. Microsystems, 2018

Reliable power and time-constraints-aware predictive management of heterogeneous exascale systems.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

e-Glass: A Wearable System for Real-Time Detection of Epileptic Seizures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Machine Learning-Based Strategy for Efficient Resource Management of Video Encoding on Heterogeneous MPSoCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Physiological characterization of need for assistance in rescue missions with drones.
Proceedings of the IEEE International Conference on Consumer Electronics, 2018

Self-Aware Wearable Systems in Epileptic Seizure Detection.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not?
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Joint Computing and Electric Systems Optimization for Green Datacenters.
Proceedings of the Handbook of Hardware/Software Codesign., 2017

An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery.
ACM Trans. Embed. Comput. Syst., 2017

HEAL-WEAR: An Ultra-Low Power Heterogeneous System for Bio-Signal Analysis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing.
IEEE Trans. Computers, 2017

Report on DATE 2017 in Lausanne.
IEEE Des. Test, 2017

Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems.
ACM Comput. Surv., 2017

Thermal characterization of next-generation workloads on heterogeneous MPSoCs.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017


A machine learning-based approach for power and thermal management of next-generation video coding on MPSoCs: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

A Hierarchical Cardiac Rhythm Classification Methodology Based on Electrocardiogram Fiducial Points.
Proceedings of the Computing in Cardiology, 2017

A Patient-Specific Methodology for Prediction of Paroxysmal Atrial Fibrillation Onset.
Proceedings of the Computing in Cardiology, 2017

Real-time classification technique for early detection and prevention of myocardial infarction on wearable devices.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2016
Parallelizing the Chambolle Algorithm for Performance-Optimized Mapping on FPGA Devices.
ACM Trans. Embed. Comput. Syst., 2016

Efficient Hardware Design of Iterative Stencil Loops.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Big-Data Streaming Applications Scheduling Based on Staged Multi-Armed Bandits.
IEEE Trans. Computers, 2016

Methods for reliable estimation of pulse transit time and blood pressure variations using smartphone sensors.
Microprocess. Microsystems, 2016

Inexact-aware architecture design for ultra-low power bio-signal analysis.
IET Comput. Digit. Tech., 2016

Anomaly Detection with a Spatio-Temporal Tracking of the Laser Spot.
Proceedings of the STAIRS 2016, 2016

Low-Power Wearable System for Real-Time Screening of Obstructive Sleep Apnea.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Ultra-Low Power Estimation of Heart Rate Under Physical Activity Using a Wearable Photoplethysmographic System.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

Touch-based system for beat-to-beat impedance cardiogram acquisition and hemodynamic parameters estimation.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Exploiting CPU-load and data correlations in multi-objective VM placement for geo-distributed data centers.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Towards near-threshold server processors.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Enabling HPC for QoS-sensitive applications: The MANGO approach.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Energy vs. reliability trade-offs exploration in biomedical ultra-low power devices.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Nano-engineered architectures for ultra-low power wireless body sensor nodes.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

PHIDIAS: ultra-low-power holistic design for smart bio-signals computing platforms.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

A multi-core reconfigurable architecture for ultra-low power bio-signal analysis.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters.
Proceedings of the Handbook on Data Centers, 2015

GPU Acceleration for Simulating Massively Parallel Many-Core Platforms.
IEEE Trans. Parallel Distributed Syst., 2015

Near-Optimal Thermal Monitoring Framework for Many-Core Systems-on-Chip.
IEEE Trans. Computers, 2015

Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations.
ACM Comput. Surv., 2015

Design of ultra-low-power smart wearable systems.
Proceedings of the 16th Latin-American Test Symposium, 2015

Heterogeneous Error-Resilient Scheme for Spectral Analysis in Ultra-Low Power Wearable Electrocardiogram Devices.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

ICCAD 2015 Contest in 3D Interlayer Cooling Optimized Network.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Energy-aware embedded classifier design for real-time emotion analysis.
Proceedings of the 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2015

Estimation of Blood Pressure and Pulse Transit Time Using Your Smartphone.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

The MANGO FET-HPC Project: An Overview.
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015

Neural Analysis of HTTP Traffic for Web Attack Detection.
Proceedings of the International Joint Conference, 2015

Real-Time Probabilistic Heart-Beat Classification and Correction for Embedded Systems.
Proceedings of the Computing in Cardiology, 2015

A Wearable Device for Physical and Emotional Health Monitoring.
Proceedings of the Computing in Cardiology, 2015

2014
A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2014

OCEAN: An Optimized HW/SW Reliability Mitigation Approach for Scratchpad Memories in Real-Time SoCs.
ACM Trans. Embed. Comput. Syst., 2014

A Semi-Analytical Thermal Modeling Framework for Liquid-Cooled ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Online Energy-Efficient Task-Graph Scheduling for Multicore Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

3D-ICE: A Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs.
IEEE Trans. Computers, 2014

Early Classification of Pathological Heartbeats on Wireless Body Sensor Nodes.
Sensors, 2014

Temperature-Aware Design and Management for 3D Multi-Core Architectures.
Found. Trends Electron. Des. Autom., 2014

Low Power and Scalable Many-Core Architecture for Big-Data Stream Computing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

PowerCool: simulation of integrated microfluidic power generation in bright silicon MPSoCs.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Power-efficient joint compressed sensing of multi-lead ECG signals.
Proceedings of the IEEE International Conference on Acoustics, 2014

A Wireless Body Sensor Network for Activity Monitoring with Low Transmission Overhead.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

Integrated microfluidic power generation and cooling for bright silicon MPSoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Global fan speed control considering non-ideal temperature measurements in enterprise servers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A quality-scalable and energy-efficient approach for spectral analysis of heart rate variability.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Resolving the memory bottleneck for single supply near-threshold computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Hardware/software approach for code synchronization in low-power multi-core sensor nodes.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Ultra-Low Power Design of Wearable Cardiac Monitoring Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Risk Assessment of Atrial Fibrillation: a Failure Prediction Approach.
Proceedings of the Computing in Cardiology, CinC 2014, 2014

A unified online directed acyclic graph flow manager for multicore schedulers.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Markov Decision Process Based Energy-Efficient On-Line Scheduling for Slice-Parallel Video Decoders on Multicore Systems.
IEEE Trans. Multim., 2013

GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling.
Integr., 2013

Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms.
IEEE Des. Test, 2013

SIM<i>in</i>G-1<i>k</i>: A thousand-core simulator running on general-purpose graphical processing units.
Concurr. Comput. Pract. Exp., 2013

Exploiting application resiliency for energy-efficient and adequately-reliable operation.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

Markov decision process based energy-efficient scheduling for slice-parallel video decoding.
Proceedings of the 2013 IEEE International Conference on Multimedia and Expo Workshops, 2013

STEAM: a fast compact thermal model for two-phase cooling of integrated circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Correlation-aware virtual machine allocation for energy-efficient datacenters.
Proceedings of the Design, Automation and Test in Europe, 2013

Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice.
Proceedings of the Design, Automation and Test in Europe, 2013

Synchronizing code execution on ultra-low-power embedded multi-channel signal analysis platforms.
Proceedings of the Design, Automation and Test in Europe, 2013

A methodology for embedded classification of heartbeats using random projections.
Proceedings of the Design, Automation and Test in Europe, 2013

A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Online thermal control methods for multiprocessor systems.
ACM Trans. Design Autom. Electr. Syst., 2012

Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Memory power optimization of Java-based embedded systems exploiting garbage collection information.
J. Syst. Archit., 2012

Low-power processor architecture exploration for online biomedical signal analysis.
IET Circuits Devices Syst., 2012

Design and Exploration of Low-Power Analog to Information Conversion Based on Compressed Sensing.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Tutorial T7A: New Modeling Methodologies for Thermal Analysis of 3D ICs and Advanced Cooling Technologies of the Future.
Proceedings of the 25th International Conference on VLSI Design, 2012

An Ultra-Low-Power Application-Specific Processor with Sub-VT Memories for Compressed Sensing.
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012

TamaRISC-CS: An ultra-low-power application-specific processor for compressed sensing.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

Model-based design for wireless body sensor network nodes.
Proceedings of the 13th Latin American Test Workshop, 2012

Fast and scalable temperature-driven floorplan design in 3D MPSoCs.
Proceedings of the 13th Latin American Test Workshop, 2012

Wearout-aware compiler-directed register assignment for embedded systems.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Free cooling-aware dynamic power management for green datacenters.
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012

A multi-lead ECG classification based on random projection features.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

PRO3D: programming for future 3D manycore architectures.
Proceedings of the 2012 Interconnection Network Architecture, 2012

Accelerating thermal simulations of 3D ICs with liquid cooling using neural networks.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Automated real-time atrial fibrillation detection on a wearable wireless sensor platform.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

Thermal balancing of liquid-cooled 3D-MPSoCs using channel modulation.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A hybrid HW-SW approach for intermittent error mitigation in streaming-based embedded systems.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Multi-core architecture design for ultra-low-power wearable health monitoring systems.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Design exploration of energy-performance trade-offs for wireless sensor networks.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Knowledge-based design space exploration of wireless sensor networks.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

Design of energy efficient and dependable health monitoring systems under unreliable nanometer technologies.
Proceedings of the 7th International Conference on Body Area Networks, 2012

IcyHeart: Highly integrated ultra-low-power SoC solution for unobtrusive and energy efficient wireless cardiac monitoring: Research project for the benefit of specific groups (FP7, Capacities).
Proceedings of the 12th IEEE International Conference on Bioinformatics & Bioengineering, 2012

Embedded real-time ECG delineation methods: A comparative evaluation.
Proceedings of the 12th IEEE International Conference on Bioinformatics & Bioengineering, 2012

Full system simulation of many-core heterogeneous SoCs using GPU and QEMU semihosting.
Proceedings of the 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, 2012

2011
Development and Evaluation of Multilead Wavelet-Based ECG Delineation Algorithms for Embedded Wireless Sensor Nodes.
IEEE Trans. Inf. Technol. Biomed., 2011

Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Compressed Sensing for Real-Time Energy-Efficient ECG Compression on Wireless Body Sensor Nodes.
IEEE Trans. Biomed. Eng., 2011

Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling.
Microelectron. J., 2011

Attaining Single-Chip, High-Performance Computing through 3D Systems with Active Cooling.
IEEE Micro, 2011

Simulation of high-performance memory allocators.
Microprocess. Microsystems, 2011

Hierarchical Thermal Management Policy for High-Performance 3D Systems With Liquid Cooling.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Island-Based Adaptable Embedded System Design.
IEEE Embed. Syst. Lett., 2011

Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Power/Performance Exploration of Single-core and Multi-core Processor Approaches for Biomedical Signal Processing.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

3D Thermal-aware floorplanner for many-core single-chip systems.
Proceedings of the 12th Latin American Test Workshop, 2011

Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Thermal-aware system-level modeling and management for Multi-Processor Systems-on-Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Thermal analysis and active cooling management for 3D MPSoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Real-time compressed sensing-based electrocardiogram compression on energy-constrained wireless body sensors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Multi-objective optimization of dynamic memory managers using grammatical evolution.
Proceedings of the 13th Annual Genetic and Evolutionary Computation Conference, 2011

A Hybrid Mapping-Scheduling Technique for Dynamically Reconfigurable Hardware.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

PRO3D, Programming for Future 3D Manycore Architectures: Project's Interim Status.
Proceedings of the Formal Methods for Components and Objects, 10th International Symposium, 2011

Towards thermally-aware design of 3D MPSoCs with inter-tier cooling.
Proceedings of the Design, Automation and Test in Europe, 2011

A real-time compressed sensing-based personal electrocardiogram monitoring system.
Proceedings of the Design, Automation and Test in Europe, 2011

A high-performance parallel implementation of the Chambolle algorithm.
Proceedings of the Design, Automation and Test in Europe, 2011

GPGPU-Accelerated Parallel and Fast Simulation of Thousand-Core Platforms.
Proceedings of the 11th IEEE/ACM International Symposium on Cluster, 2011

Run-time adaptable on-chip thermal triggers.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Parallel and Distributed Optimization of Dynamic Data Structures for Multimedia Embedded Systems.
Proceedings of the Parallel and Distributed Computational Intelligence, 2010

A parallel evolutionary algorithm to optimize dynamic memory managers in embedded systems.
Parallel Comput., 2010

Thermal-Aware Compilation for Register Window-Based Embedded Processors.
IEEE Embed. Syst. Lett., 2010

Energy Efficiency Using Loop Buffer based Instruction Memory Organizations.
Proceedings of the International Workshop on Innovative Architecture for Future Generation High Performance Processors and Systems, 2010

Adaptive Task Migration Policies for Thermal Control in MPSoCs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Multicore thermal management using approximate explicit model predictive control.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Temperature sensor placement in thermal management systems for MPSoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Neural network based on-chip thermal simulator.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Run-time mapping of applications on FPGA-based reconfigurable systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Scalable instruction set simulator for thousand-core architectures running on GPGPUs.
Proceedings of the 2010 International Conference on High Performance Computing & Simulation, 2010

3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Fuzzy control for enforcing energy efficiency in high-performance 3D systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Online convex optimization-based algorithm for thermal management of MPSoCs.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Thermal-aware compilation for system-on-chip processing architectures.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

Improving reliability of embedded systems through dynamic memory manager optimization using grammatical evolution.
Proceedings of the Genetic and Evolutionary Computation Conference, 2010

Energy-efficient variable-flow liquid cooling in 3D stacked architectures.
Proceedings of the Design, Automation and Test in Europe, 2010

Evaluation and design exploration of solar harvested-energy prediction algorithm.
Proceedings of the Design, Automation and Test in Europe, 2010

HTPCP: GNSS-R multi-channel cross-correlation waveforms post-processing solution for GOLD-RTR instrument.
Proceedings of the 2010 NASA/ESA Conference on Adaptive Hardware and Systems, 2010

2009
Processor Speed Control With Thermal Constraints.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Thermal Balancing Policy for Multiprocessor Stream Computing Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Optimization methodology of dynamic data structures based on genetic algorithms for multimedia embedded systems.
J. Syst. Softw., 2009

Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Through Silicon Via-Based Grid for Thermal Control in 3D Chips.
Proceedings of the Nano-Net - 4th International ICST Conference, 2009

Inducing Thermal-Awareness in Multicore Systems Using Networks-on-Chip.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

Exploration of memory hierarchy configurations for efficient garbage collection on high-performance embedded systems.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Mixed heuristic and mathematical programming using reference points for dynamic data types optimization in multimedia embedded systems.
Proceedings of the Genetic and Evolutionary Computation Conference, 2009

Optimization of dynamic memory managers for embedded systems using grammatical evolution.
Proceedings of the Genetic and Evolutionary Computation Conference, 2009

Multicore thermal management with model predictive control.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

Dynamic thermal management in 3D multicore architectures.
Proceedings of the Design, Automation and Test in Europe, 2009

Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009

Thermal-aware data flow analysis.
Proceedings of the 46th Design Automation Conference, 2009

Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

Wavelet-Based ECG Delineation on a Wearable Embedded Sensor Platform.
Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 2009

Implementation of an Automated ECG-based Diagnosis Algorithm for a Wireless Body Sensor Plataform.
Proceedings of the BIODEVICES 2009, 2009

A control theory approach for thermal balancing of MPSoC.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

A stochastic perturbative approach to design a defect-aware thresholder in the sense amplifier of crossbar memories.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

A parallel evolutionary algorithm to optimize dynamic data types in embedded systems.
Soft Comput., 2008

Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures.
Integr., 2008

Network-on-Chip design and synthesis outlook.
Integr., 2008

Particle swarm optimisation of memory usage in embedded systems.
Int. J. High Perform. Syst. Archit., 2008

Stochastic modeling and analysis for environmentally powered wireless sensor nodes.
Proceedings of the 6th International Symposium on Modeling and Optimization in Mobile, 2008

A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008

Optimization of dynamic data types in embedded systems using DEVS/SOA-based modeling and simulation.
Proceedings of the 3rd International ICST Conference on Scalable Information Systems, 2008

Analysis of multi-objective evolutionary algorithms to optimize dynamic data types in embedded systems.
Proceedings of the Genetic and Evolutionary Computation Conference, 2008

Design Flow of Dynamically-Allocated Data Types in Embedded Applications Based on Elitist Evolutionary Computation Optimization.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

OS-Based Sensor Node Platform and Energy Estimation Model for Health-Care Wireless Sensor Networks.
Proceedings of the Design, Automation and Test in Europe, 2008

Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization.
Proceedings of the Design, Automation and Test in Europe, 2008

Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

Programmable logic circuits based on ambipolar CNFET.
Proceedings of the 45th Design Automation Conference, 2008

Reliability-aware design for nanometer-scale devices.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees.
VLSI Design, 2007

Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2007

HW-SW emulation framework for temperature-aware design in MPSoCs.
ACM Trans. Design Autom. Electr. Syst., 2007

Reconfiguration Strategies for Environmentally Powered Devices: Theoretical Analysis and Experimental Validation.
Trans. High Perform. Embed. Archit. Compil., 2007

Bringing NoCs to 65 nm.
IEEE Micro, 2007

Systematic methodology for exploration of performance - Energy trade-offs in network applications using Dynamic Data Type refinement.
J. Syst. Archit., 2007

Energy-aware compilation and hardware design for VLIW embedded systems.
Int. J. Embed. Syst., 2007

Early wire characterization for predictable network-on-chip global interconnects.
Proceedings of the Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), 2007

Systematic intermediate sequence removal for reduced memory accesses.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007

Optimization of dynamic data structures in multimedia embedded systems using evolutionary computation.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007

Efficient Object Placement including Node Selection in a Distributed Virtual Machine.
Proceedings of the Parallel Computing: Architectures, 2007

NoC Design and Implementation in 65nm Technology.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

System-Level Design for Nano-Electronics.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Multi-processor operating system emulation framework with thermal feedback for systems-on-chip.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Interactive presentation: Improving the fault tolerance of nanometric PLA designs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Thermal Characterization and Thermal Management in Processor-Based Systems.
Proceedings of the Power-aware Computing Systems, 21.01. - 26.01.2007, 2007

Temperature-aware processor frequency assignment for MPSoCs using convex optimization.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

2006
Systematic dynamic memory management design methodology for reduced memory footprint.
ACM Trans. Design Autom. Electr. Syst., 2006

Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems.
Integr., 2006

Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance.
Comput. Commun., 2006

A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Designing Routing and Message-Dependent Deadlock Free Networks on Chips.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Compiler-Driven Leakage Energy Reduction in Banked Register Files.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips.
Proceedings of the International Symposium on System-on-Chip, 2006

Systematic design flow for dynamic data management in visual texture decoder of MPEG-4.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Template-Based Semi-Automatic Profiling of Multimedia Applications.
Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, 2006

Reliability Support for On-Chip Memories Using Networks-on-Chip.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Designing application-specific networks on chips with floorplan information.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Energy-efficient dynamic memory allocators at the middleware level of embedded systems.
Proceedings of the 6th ACM & IEEE International conference on Embedded software, 2006

Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip.
Proceedings of the 43rd Design Automation Conference, 2006

A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Methodology for Refinement and Optimisation of Dynamic Memory Management for Embedded Systems in Multimedia Applications.
J. VLSI Signal Process., 2005

Reducing Memory Fragmentation with Performance-Optimized Dynamic Memory Allocators in Network Applications.
Proceedings of the Wired/Wireless Internet Communications, Third International Conference, 2005

Energy Characterization of Garbage Collectors for Dynamic Applications on Embedded Systems.
Proceedings of the Integrated Circuit and System Design, 2005

Performance Evaluation of Barrier Techniques for Distributed Tracing Garbage Collectors.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005

Versatile FPGA-Based Functional Validation Framework for Networks-on-Chip Interconnections Designs.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005

Exploration and Tuning of Custom NoC Topologies Using an FPGA-Based Framework.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005

A novel approach for network on chip emulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A Complete Network-On-Chip Emulation Framework.
Proceedings of the 2005 Design, 2005

2004
Memory-access-aware data structure transformations for embedded software with dynamic data accesses.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology.
Proceedings of the Wired/Wireless Internet Communications, Second International Conference, 2004

Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems.
Proceedings of the Integrated Circuit and System Design, 2004

Fast prototyping and refinement of complex dynamic data types in multimedia applications for consumer embedded devices.
Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, 2004

Reducing memory accesses with a system-level design methodology in customized dynamic memory management.
Proceedings of the 2nd Workshop on Embedded Systems for Real-Time Multimedia, 2004

Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications.
Proceedings of the 2004 Design, 2004

An integrated hardware/software approach for run-time scratchpad management.
Proceedings of the 41th Design Automation Conference, 2004

Garbage Collector Refinement for New Dynamic Multimedia Applications on Embedded Systems.
Proceedings of the 8th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-8 2004), 2004

2003
Power Estimation Approach of Dynamic Data Storage on a Hardware Software Boundary Level.
Proceedings of the Integrated Circuit and System Design, 2003

Automated Dynamic Memory Data Type Implementation Exploration and Optimization.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

Intermediate variable elimination in a global context for a 3D multimedia application.
Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, 2003


  Loading...