Qi Wei

Orcid: 0000-0003-3189-7562

Affiliations:
  • Tsinghua University, Department of Electronic Engineering, Beijing, China


According to our database1, Qi Wei authored at least 111 papers between 2011 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Breaking the energy-efficiency barriers for smart sensing applications with "Sensing with Computing" architectures.
Sci. China Inf. Sci., October, 2023

Capacitor Recombination Algorithm Combined with LMS Algorithm in 16-Bit SAR ADC with Redundancy.
Circuits Syst. Signal Process., June, 2023

Optimized Split Capacitive Array in 16-Bit SAR ADC with Redundancy.
Circuits Syst. Signal Process., March, 2023

Correction: Optimized Split Capacitive Array in 16-Bit SAR ADC with Redundancy.
Circuits Syst. Signal Process., March, 2023

All-analog photoelectronic chip for high-speed vision tasks.
Nat., 2023

A novel electrodes design for in-plane measurement of single-structure multi-axis MEMS inertial devices.
Proceedings of the 18th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2023

A Three-Step Multi-Resolution Time-to-Digital Converter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Achieving Submicron Sphericity in Bowl-Shaped Micro Hemispherical Resonators Through Precision Molding Process.
Proceedings of the 2023 IEEE SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023, 2023

Online Demodulation of Miniatured Capacitive Angular Position Sensor based on ASIC Implementation.
Proceedings of the 2023 IEEE SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023, 2023

2022
Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

A novel capacitor recombination calibration method applied to 18-bit SAR ADC.
Microelectron. J., 2022

Harmonic disturbance observer-based sliding mode control of MEMS gyroscopes.
Sci. China Inf. Sci., 2022

OCTOANTS: A Heterogeneous Lightweight Intelligent Multi-Robot Collaboration System with Resource-constrained IoT Devices.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022

A Fully Integrated Miniatured Capacitive Angle Encoder based on MEMS Fabrication and ASIC Implementation.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

A Heat Conduction Structure for the Etching Process of MEMS Devices with Support Anchors.
Proceedings of the 2022 IEEE Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022, 2022

In-situ self-powered intelligent vision system with inference-adaptive energy scheduling for BNN-based always-on perception.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

On the Way from Lightweight to Powerful Intelligence: A Heterogeneous Multi-Robot Social System with IoT Devices.
Proceedings of the 18th IEEE International Conference on Automation Science and Engineering, 2022

A 2.17μW@120fps Ultra-Low-Power Dual-Mode CMOS Image Sensor with Senputing Architecture.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Serial-Parallel Estimation Model-Based Sliding Mode Control of MEMS Gyroscopes.
IEEE Trans. Syst. Man Cybern. Syst., 2021

High-Precision Incremental Capacitive Angle Encoder Developed by Micro Fabrication Technology.
IEEE Trans. Ind. Electron., 2021

MACSen: A Processing-In-Sensor Architecture Integrating MAC Operations Into Image Sensor for Ultra-Low-Power BNN-Based Intelligent Visual Perception.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

NS-MD: Near-Sensor Motion Detection With Energy Harvesting Image Sensor for Always-On Visual Perception.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Reducing SRAM Reading Power With Column Data Segment and Weights Correlation Enhancement for CNN Processing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Digital-Analog Hybrid System-on-Chip for Capacitive Sensor Measurement and Control.
Sensors, 2021

Performance and Evaluation of GNSS Receiver Vector Tracking Loop Based on Adaptive Cascade Filter.
Remote. Sens., 2021

Modelling of Using Hall Magnetic Sensor for Environmental Monitor of Micrometer-Sized Magnetite Particles.
Proceedings of the IEEE International Systems Conference, 2021

Strengthening the Practical Capacity of Students: an Educational Case Study about Teaching Feedback in Electronics Circuit.
Proceedings of the IEEE International Systems Conference, 2021

A 442.1 nVpp, 13.07 ppm/°C Ultra-Low Noise Bandgap Reference Circuit in 180 nm BCD Process.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 5.9μW Ultra-Low-Power Dual-Resolution CIS Chip of Sensing-with-Computing for Always-on Intelligent Visual Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Project-Based Course in Electronic Engineering Education.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

RaP-Net: A Region-wise and Point-wise Weighting Network to Extract Robust Features for Indoor Localization.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

A 4.57 μW@120fps Vision System of Sensing with Computing for BNN-Based Perception Applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

Calibration method for improving the linearity of analog-to-digital converters in CMOS image sensor.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2021

2020
ASP-SIFT: Using Analog Signal Processing Architecture to Accelerate Keypoint Detection of SIFT Algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Full 360° Measurement Range Liquid Capacitive Inclinometer With a Triple- Eccentric-Ring Sensing Element and Differential Detection Scheme.
IEEE Trans. Ind. Electron., 2020

NS-CIM: A Current-Mode Computation-in-Memory Architecture Enabling Near-Sensor Processing for Intelligent IoT Vision Nodes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Processing Near Sensor Architecture in Mixed-Signal Domain With CMOS Image Sensor of Convolutional-Kernel-Readout Method.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

An Auto-Tuning Continuous-Time Bandpass Sigma-Delta Modulator with Signal Observation for MEMS Gyroscope Readout Systems.
Sensors, 2020

EDSSA: An Encoder-Decoder Semantic Segmentation Networks Accelerator on OpenCL-Based FPGA Platform.
Sensors, 2020

Design and Implementation of an On-Chip Low-Power and High-Flexibility System for Data Acquisition and Processing of an Inertial Measurement Unit.
Sensors, 2020

Exploration and Research of Human Identification Scheme Based on Inertial Data.
Sensors, 2020

RaP-Net: A Region-wise and Point-wise Weighting Network to Extract Robust Keypoints for Indoor Localization.
CoRR, 2020

Thermal Deformation Suppression Chip Based on Material Symmetry Design for Single Center Supported MEMS Devices.
IEEE Access, 2020

A Visual-Inertial Localization Method for Unmanned Aerial Vehicle in Underground Tunnel Dynamic Environments.
IEEE Access, 2020

MSP-MFCC: Energy-Efficient MFCC Feature Extraction Method With Mixed-Signal Processing Architecture for Wearable Speech Recognition Applications.
IEEE Access, 2020

Nonlinear Error Compensation of Capacitive Angular Encoders Based on Improved Particle Swarm Optimization Support Vector Machines.
IEEE Access, 2020

C<sup>2</sup>IM: A Compact Computing-In-Memory Unit of 10 Transistors with Standard 6T SRAM.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

Optimization and Evaluation of Energy-Efficient Mixed-Signal MFCC Feature Extraction Architecture.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

RARA: Dataflow Based Error Compensation Methods with Runtime Accuracy-Reconfigurable Adder.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

DXSLAM: A Robust and Efficient Visual SLAM System with Deep Features.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

Gesture Recognition System with Aging Awareness based on Tactile Perception.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

Utilizing Direct Photocurrent Computation and 2D Kernel Scheduling to Improve In-Sensor-Processing Efficiency.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Soft Error Mitigation for Deep Convolution Neural Network on FPGA Accelerators.
Proceedings of the 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020

2019
Design, Optimization, and Compensation of a High-Precision Single-Excitation Absolute Capacitance Angular Encoder up to ±4′′.
IEEE Trans. Ind. Electron., 2019

A Regulated Temperature-Insensitive High-Voltage Charge Pump in Standard CMOS Process for Micromachined Gyroscopes.
Sensors, 2019

Periodic Nonlinear Error Analysis and Compensation of a Single-Excited Petal-Shaped Capacitive Encoder to Achieve High-Accuracy Measurement.
Sensors, 2019

An Analog Interface Circuit for Capacitive Angle Encoder Based on a Capacitance Elimination Array and Synchronous Switch Demodulation Method.
Sensors, 2019

Self-Calibration of Nonlinear Signal Model for Angular Position Sensors by Model-Based Automatic Search Algorithm.
Sensors, 2019

A single clock cycle approximate adder with hybrid prediction and error compensation methods.
Microelectron. J., 2019

A Novel Method for Fast Stationary Initial Alignment Based on Extended Measurement Information.
IEEE Access, 2019

A DenseNet feature-based loop closure method for visual SLAM system.
Proceedings of the 2019 IEEE International Conference on Robotics and Biomimetics, 2019

A 1.8mW Perception Chip with Near-Sensor Processing Scheme for Low-Power AIoT Applications.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Design of Switched-Current Based Low-Power PIM Vision System for IoT Applications.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A Novel Packaging Stress Isolation Chip for MEMS Devices.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

Nonlinear Error Compensation of Capacitive Angular Encoder.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

Design of Digital Demodulation Circuit for Closed Loop Control of Resonant MEMS Gyroscopes.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

INA: Incremental Network Approximation Algorithm for Limited Precision Deep Neural Networks.
Proceedings of the International Conference on Computer-Aided Design, 2019

Concrete: A Per-layer Configurable Framework for Evaluating DNN with Approximate Operators.
Proceedings of the IEEE International Conference on Acoustics, 2019

2018
Self-Calibration of Angular Position Sensors by Signal Flow Networks.
Sensors, 2018

Measurement and Isolation of Thermal Stress in Silicon-On-Glass MEMS Structures.
Sensors, 2018

High linearity source-follower buffer based analog memory for analog convolutional neural network.
Microelectron. J., 2018

Design of FPGA-Based Accelerator for Convolutional Neural Network under Heterogeneous Computing Framework with OpenCL.
Int. J. Reconfigurable Comput., 2018

Energy-Efficient SRAM Design with Data-Aware Dual-Modes L0T Storage Cell for CNN Processors.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Energy-efficient MFCC extraction architecture in mixed-signal domain for automatic speech recognition.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

Energy Efficient ApproxSIFT Implementation for Image Mosaic with Approximate Computing Technologies.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

Hu-Fu: Hardware and Software Collaborative Attack Framework Against Neural Networks.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

DS-SLAM: A Semantic Visual SLAM towards Dynamic Environments.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

A System-Level Simulation Approach for Analyzing MEMS Gyroscope Manufacture Error.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

Application of Signal Flow Network on Calibration Capacitive Rotary Encoder.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

MINTIN: Maxout-Based and Input-Normalized Transformation Invariant Neural Network.
Proceedings of the 2018 IEEE International Conference on Image Processing, 2018

Approximate On-chip Memory Optimization Method For Deep Residual Networks.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Calibrating process variation at system level with in-situ low-precision transfer learning for analog neural network processors.
Proceedings of the 55th Annual Design Automation Conference, 2018

CMOS Image Sensor Data-Readout Method for Convolutional Operations with Processing Near Sensor Architecture.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Maximum Energy Efficiency Tracking Circuits for Converter-Less Energy Harvesting Sensor Nodes.
IEEE Trans. Circuits Syst. II Express Briefs, 2017

A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors.
IEEE J. Solid State Circuits, 2017

AIsim: Functional Simulator for Analog-to-Information Perceptual Systems.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

AICNN: Implementing Typical CNN Algorithms with Analog-to-Information Conversion Architecture.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

From "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent contact lens for image classification with analog-to-information processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A capacitive rotary encoder with a novel sensitive electrode.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

2016
Approximate Adder with Hybrid Prediction and Error Compensation Technique.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A Real-Time and Energy-Efficient Implementation of Difference-of-Gaussian with Flexible Thin-Film Transistors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A Multi-accuracy-Level Approximate Memory Architecture Based on Data Significance Analysis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

An ultra-fast and low-power design of analog circuit network for DoG pyramid construction of SIFT algorithm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

A precision-improved processing architecture of physical computing for energy-efficient SIFT feature extraction.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

2015
A general scheme for noise-tolerant logic design based on probabilistic and DCVS approaches.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

A 14-bit 1.0-GS/s dynamic element matching DAC with >80 dB SFDR up to the Nyquist.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Physical computing circuit with no clock to establish Gaussian pyramid of SIFT algorithm.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Design methodology for approximate accumulator based on statistical error model.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
A 14 Bit 500 MS/s CMOS DAC Using Complementary Switched Current Sources and Time-Relaxed Interleaving DRRZ.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Design considerations for low power time-mode SAR ADC.
Int. J. Circuit Theory Appl., 2014

Physical Computing With No Clock to Implement the Gaussian Pyramid of SIFT Algorithm.
CoRR, 2014

A single channel, 6-bit 410-ms/s asynchronous SAR ADC based on 3bits/stage.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

Design of multi-stage latency adders using detection and sequence-dependence between successive calculations.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
A novel redundant pipelined successive approximation register ADC.
IEICE Electron. Express, 2013

A Novel Reconfigurable Computing Architecture for Image Signal Processing Using Circuit-Switched NoC and Synchronous Dataflow Model.
CoRR, 2013

A Novel Video Compression Method Based on Underdetermined Blind Source Separation.
Proceedings of the Multimedia and Ubiquitous Engineering, 2013

2012
Balanced Switching Schemes for Gradient-Error Compensation in Current-Steering DACs.
IEICE Trans. Electron., 2012

A Novel Video Compression Approach Based on Underdetermined Blind Source Separation
CoRR, 2012

2011
Code-independent output impedance: A new approach to increasing the linearity of current-steering DACs.
Proceedings of the 18th IEEE International Conference on Electronics, Circuits and Systems, 2011


  Loading...