Shreyas Sen

Orcid: 0000-0001-5566-8946

According to our database1, Shreyas Sen authored at least 180 papers between 2005 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Switch Capacitor-Based Time-Varying Transfer Function for FCN and CNN MLSCA-Resistant AES256 in 65-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, January, 2024

2023
Improved EM Side-Channel Analysis Attack Probe Detection Range Utilizing Coplanar Capacitive Asymmetry Sensing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Orthogonal Filter Frequency Followed by LNA Linearity Tuning for Efficient Instinctual GaN Receiver Front-End.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

RF-PSF: A CNN-Based Process Distinction Method Using Inadvertent RF Signatures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Sub-GHz In-Body to Out-of-Body Communication Channel Modeling for Ruminant Animals for Smart Animal Agriculture.
IEEE Trans. Biomed. Eng., April, 2023

PG-CAS: Pro-Active EM-SCA Probe Detection Using Switched-Capacitor-Based Patterned-Ground Co-Planar Capacitive Asymmetry Sensing.
IEEE Open J. Circuits Syst., 2023

Analysis of Discrete-Time Integrating Amplifiers as an Alternative to Continuous-Time Amplifiers in Broadband Receivers.
IEEE Open J. Circuits Syst., 2023

Physical Time-Varying Transfer Function as Generic Low-Overhead Power-SCA Countermeasure.
IEEE Open J. Circuits Syst., 2023

A 334 μW 0.158 mm<sup>2</sup> ASIC for Post-Quantum Key-Encapsulation Mechanism Saber With Low-Latency Striding Toom-Cook Multiplication.
IEEE J. Solid State Circuits, 2023

A 334µW 0.158mm2 ASIC for Post-Quantum Key-Encapsulation Mechanism Saber with Low-latency Striding Toom-Cook Multiplication Extended Version.
IACR Cryptol. ePrint Arch., 2023

A 334μW 0.158mm<sup>2</sup> ASIC for Post-Quantum Key-Encapsulation Mechanism Saber with Low-latency Striding Toom-Cook Multiplication Authors Version.
CoRR, 2023

Invited: IoB: The Vision of the Internet of Bodies.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Is Broken Cable Breaking Your Security?
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Electro-Quasistatic Human-Structure Coupling for Human Presence Detection and Secure Data Offloading.
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

Long Range Detection of Emanation from HDMI Cables Using CNN and Transfer Learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

A 65 nm 2.02 mW 50 Mbps Direct Analog to MJPEG Converter for Video Sensor Nodes using low-noise Switched Capacitor MAC-Quantizer with automatic calibration and Sparsity-aware ADC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Power and EM SCA Resilience in 65nm AES-256 Exploiting Clock-Slew Dependent Variability in CMOS Digital Circuits.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

Material property based analysis of Electro-Quasistatic Human-Structure Interactions.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

Invited: Can Wi-R enable perpetual IoB nodes?
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023

2022
EM SCA White-Box Analysis-Based Reduced Leakage Cell Design and Presilicon Evaluation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Understanding the Role of Magnetic and Magneto-Quasistatic Fields in Human Body Communication.
IEEE Trans. Biomed. Eng., 2022

Bio-Physical Modeling of Galvanic Human Body Communication in Electro-Quasistatic Regime.
IEEE Trans. Biomed. Eng., 2022

EQS Res-HBC: A 65-nm Electro-Quasistatic Resonant 5-240 μW Human Whole-Body Powering and 2.19 μW Communication SoC With Automatic Maximum Resonant Power Tracking.
IEEE J. Solid State Circuits, 2022

CS-Audio: A 16 pJ/b 0.1-15 Mbps Compressive Sensing IC With DWT Sparsifier for Audio-AR.
IEEE J. Solid State Circuits, 2022

Syn-STELLAR: An EM/Power SCA-Resilient AES-256 With Synthesis-Friendly Signature Attenuation.
IEEE J. Solid State Circuits, 2022

A 65 nm Wireless Image SoC Supporting On-Chip DNN Optimization and Real-Time Computation-Communication Trade-Off via Actor-Critical Neuro-Controller.
IEEE J. Solid State Circuits, 2022

EM-X-DL: Efficient Cross-device Deep Learning Side-channel Attack With Noisy EM Signatures.
ACM J. Emerg. Technol. Comput. Syst., 2022

EICO: Energy-Harvesting Long-Range Environmental Sensor Nodes With Energy-Information Dynamic Co-Optimization.
IEEE Internet Things J., 2022

Bioelectronic Sensor Nodes for Internet of Bodies.
CoRR, 2022

Sub-1ms Instinctual Interference Adaptive GaN LNA Front-End with Power and Linearity Tuning.
CoRR, 2022

Bi-Phasic Quasistatic Brain Communication for Fully Untethered Connected Brain Implants.
CoRR, 2022

Statistical Analysis Based Feature Selection Enhanced RF-PUF with >99.8% Accuracy on Unmodified Commodity Transmitters for IoT Physical Security.
CoRR, 2022

A 334uW 0.158mm<sup>2</sup> Saber Learning with Rounding based Post-Quantum Crypto Accelerator.
CoRR, 2022

A Low Phase Noise 30 GHz Oscillator Topology for Resonant-Fin-Transistors Based High-Q On-chip Resonators in 14 nm Technology.
Proceedings of the 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems, 2022

A 65nm 63.3µW 15Mbps Transceiver with Switched-Capacitor Adiabatic Signaling and Combinatorial-Pulse-Position Modulation for Body-Worn Video-Sensing AR Nodes.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 1.8 μW 5.5 mm<sup>3</sup> ADC-less Neural Implant SoC utilizing 13.2pJ/Sample Time-domain Bi-phasic Quasi-static Brain Communication with Direct Analog to Time Conversion.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

EM SCA & FI Self-Awareness and Resilience with Single On-chip Loop & ML Classifiers.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A Digital Cascoded Signature Attenuation Countermeasure with Intelligent Malicious Voltage Drop Attack Detector for EM/Power SCA Resilient Parallel AES-256.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

A 334uW 0.158mm2 Saber Learning with Rounding based Post-Quantum Crypto Accelerator.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
Analysis and Design Considerations for Achieving the Fundamental Limits of Phase Noise in mmWave Oscillators With On-Chip MEMS Resonator.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

In-the-Wild Interference Characterization and Modelling for Electro-Quasistatic-HBC With Miniaturized Wearables.
IEEE Trans. Biomed. Eng., 2021

Advanced Biophysical Model to Capture Channel Variability for EQS Capacitive HBC.
IEEE Trans. Biomed. Eng., 2021

Theoretical Analysis of Multi Integrating RX Front-Ends for Lossy Broad-Band Channels.
IEEE Open J. Circuits Syst., 2021

Sub-μWRComm: 415-nW 1-10-kb/s Physically and Mathematically Secure Electro-Quasi-Static HBC Node for Authentication and Medical Applications.
IEEE J. Solid State Circuits, 2021

EM and Power SCA-Resilient AES-256 Through >350× Current-Domain Signature Attenuation and Local Lower Metal Routing.
IEEE J. Solid State Circuits, 2021

Context-Aware Collaborative Intelligence With Spatio-Temporal In-Sensor-Analytics for Efficient Communication in a Large-Area IoT Testbed.
IEEE Internet Things J., 2021

EM/Power Side-Channel Attack: White-Box Modeling and Signature Attenuation Countermeasures.
IEEE Des. Test, 2021

A 1.15μW 5.54mm<sup>3</sup> Implant with a Bidirectional Neural Sensor and Stimulator SoC utilizing Bi-Phasic Quasi-static Brain Communication achieving 6kbps-10Mbps Uplink with Compressive Sensing and RO-PUF based Collision Avoidance.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

36.2 An EM/Power SCA-Resilient AES-256 with Synthesizable Signature Attenuation Using Digital-Friendly Current Source and RO-Bleed-Based Integrated Local Feedback and Global Switched-Mode Control.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

PG-CAS: Patterned-Ground Co-Planar Capacitive Asymmetry Sensing for mm-Range EM Side-Channel Attack Probe Detection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Design Considerations for a Sub-25μW PLL with Multi-Phase Output and 1-450MHz Tuning Range.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

DIRAC: Dynamic-IRregulAr Clustering Algorithm with Incremental Learning for RF-Based Trust Augmentation in IoT Device Authentication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

iSTELLAR: intermittent Signature aTtenuation Embedded CRYPTO with Low-Level metAl Routing.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

In-body to Out-of-body Communication Channel Modeling for Ruminant Animals for Smart Animal Agriculture.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Enhanced Detection Range for EM Side-channel Attack Probes utilizing Co-planar Capacitive Asymmetry Sensing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

OpenSerDes: An Open Source Process-Portable All-Digital Serial Link.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A 65nm Resonant Electro-Quasistatic 5-240uW Human Whole-Body Powering and 2.19uW Communication SoC with Automatic Maximum Resonant Power Tracking.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

A 16 pJ/bit 0.1-15Mbps Compressive Sensing IC with on-chip DWT Sparsifier for Audio Signals.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

Energy-Efficient Deep Neural Networks with Mixed-Signal Neurons and Dense-Local and Sparse-Global Connectivity.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
<i>BodyWire-HCI</i>: Enabling New Interaction Modalities by Communicating Strictly During Touch Using Electro-Quasistatic Human Body Communication.
ACM Trans. Comput. Hum. Interact., 2020

Toward Understanding the Return Path Capacitance in Capacitive Human Body Communication.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

On the Safety of Human Body Communication.
IEEE Trans. Biomed. Eng., 2020

A Wearable Real-Time CMOS Dosimeter With Integrated Zero-Bias Floating Gate Sensor and an 861-nW 18-Bit Energy-Resolution Scalable Time-Based Radiation to Digital Converter.
IEEE J. Solid State Circuits, 2020

120.147 Efficient Electromagnetic Side Channel Analysis by Probe Positioning using Multi-Layer Perceptron.
IACR Cryptol. ePrint Arch., 2020

Physical Time-Varying Transfer Functions as Generic Low-Overhead Power-SCA Countermeasure.
IACR Cryptol. ePrint Arch., 2020

Electromagnetic and Power Side-Channel Analysis: Advanced Attacks and Low-Overhead Generic Countermeasures through White-Box Approach.
Cryptogr., 2020

A Wearable CMOS Biosensor with 3 Designs of Energy-Resolution Scalable Time-Based Resistance to Digital Converter.
CoRR, 2020

Electro-Quasistatic Animal Body Communication for Chronic Untethered Rodent Biopotential Recording.
CoRR, 2020

Inter-Body Coupling in Electro-Quasistatic Human Body Communication: Theory and Analysis of Security and Interference Properties.
CoRR, 2020

SCNIFFER: Low-Cost, Automated, Efficient Electromagnetic Side-Channel Sniffing.
IEEE Access, 2020

A 65nm Image Processing SoC Supporting Multiple DNN Models and Real-Time Computation-Communication Trade-Off Via Actor-Critical Neuro-Controller.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

Killing EM Side-Channel Leakage at its Source.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

27.3 EM and Power SCA-Resilient AES-256 in 65nm CMOS Through >350× Current-Domain Signature Attenuation.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

A 100KHz-1GHz Termination-dependent Human Body Communication Channel Measurement using Miniaturized Wearable Devices.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A 415 nW Physically and Mathematically Secure Electro-Quasistatic HBC Node in 65nm CMOS for Authentication and Medical Applications.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

Deep Learning Side-Channel Attack Resilient AES-256 using Current Domain Signature Attenuation in 65nm CMOS.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

A 41.5 pJ/b, 2.4GHz Digital-Friendly Orthogonally Tunable Transceiver SoC with 3-decades of Energy-Performance Scalability.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Practical Approaches Toward Deep-Learning-Based Cross-Device Power Side-Channel Attack.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Exploiting Inherent Error Resiliency of Deep Neural Networks to Achieve Extreme Energy Efficiency Through Mixed-Signal Neurons.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Powerline Communication for Enhanced Connectivity in Neuromorphic Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Bio-Physical Modeling, Characterization, and Optimization of Electro-Quasistatic Human Body Communication.
IEEE Trans. Biomed. Eng., 2019

An Improved Update Rate CDR for Interference Robust Broadband Human Body Communication Receiver.
IEEE Trans. Biomed. Circuits Syst., 2019

Theoretical Analysis of AM and FM Interference Robustness of Integrating DDR Receiver for Human Body Communication.
IEEE Trans. Biomed. Circuits Syst., 2019

EM-Wave Biosensors: A Review of RF, Microwave, mm-Wave and Optical Sensing.
Sensors, 2019

BodyWire: A 6.3-pJ/b 30-Mb/s -30-dB SIR-Tolerant Broadband Interference-Robust Human Body Communication Transceiver Using Time Domain Interference Rejection.
IEEE J. Solid State Circuits, 2019

RF-PUF: Enhancing IoT Security Through Authentication of Wireless Nodes Using In-Situ Machine Learning.
IEEE Internet Things J., 2019

X-DeepSCA: Cross-Device Deep Learning Side Channel Attack.
IACR Cryptol. ePrint Arch., 2019

Guest Editors' Introduction: Intelligent Resource-Constrained Sensor Nodes.
IEEE Des. Test, 2019

Context-Aware Intelligence in Resource-Constrained IoT Nodes: Opportunities and Challenges.
IEEE Des. Test, 2019

SCNIFFER: Low-Cost, Automated, EfficientElectromagnetic Side-Channel Sniffing.
CoRR, 2019

STELLAR: A Generic EM Side-Channel Attack Protection through Ground-Up Root-cause Analysis.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

A Wearable Real-time CMOS Dosimeter with Integrated Zero-bias Floating-Gate Sensor and an 861nW 18-bit Energy-Resolution Scalable Time-based Radiation to Digital Converter.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
Smart Sensing for HVAC Control: Collaborative Intelligence in Optical and IR Cameras.
IEEE Trans. Ind. Electron., 2018

A Reconfigurable Hybrid Low Dropout Voltage Regulator for Wide-Range Power Supply Noise Rejection and Energy-Efficiency Trade-Off.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

ASNI: Attenuated Signature Noise Injection for Low-Overhead Power Side-Channel Attack Immunity.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

Switched-Mode-Control Based Hybrid LDO for Fine-Grain Power Management of Digital Load Circuits.
IEEE J. Solid State Circuits, 2018

TRIFECTA: Security, Energy Efficiency, and Communication Capacity Comparison for Wireless IoT Devices.
IEEE Internet Comput., 2018

Ground-up Root-cause Analysis guided Low-Overhead Generic Countermeasure for Electro-Magnetic Side-Channel Attack.
IACR Cryptol. ePrint Arch., 2018

A MedRadio Receiver Front-End With Wide Energy-Quality Scalability Through Circuit and Architecture-Level Reconfigurations.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Exploiting Inherent Error-Resiliency of Neuromorphic Computing to achieve Extreme Energy-Efficiency through Mixed-Signal Neurons.
CoRR, 2018

BioPhysical Modeling, Characterization and Optimization of Electro-Quasistatic Human Body Communication.
CoRR, 2018

In-field Remote Fingerprint Authentication using Human Body Communication and On-Hub Analytics.
CoRR, 2018

Special session on intelligent sensor nodes.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Design Considerations of a Sub-50 Mu-W Receiver Front-end for Implantable Devices in MedRadio Band.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

RF-PUF: IoT security enhancement through authentication of wireless nodes using in-situ machine learning.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Characterization and Classification of Human Body Channel as a function of Excitation and Termination Modalities.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

A 6.3pJ/b 30Mbps -30dB SIR-tolerant broadband interference-robust human body communication transceiver using time domain signal-interference separation.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

A sub-nW Wake-up Receiver for Human Body Communication.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

An Improved Update Rate Baud Rate CDR for Integrating Human Body Communication Receiver.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
A Comprehensive BIST Solution for Polar Transceivers Using On-Chip Resources.
ACM Trans. Design Autom. Electr. Syst., 2017

Self-Optimizing IoT Wireless Video Sensor Node With In-Situ Data Analytics and Context-Driven Energy-Aware Real-Time Adaptation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Self-Learning RF Receiver Systems: Process Aware Real-Time Adaptation to Channel Conditions for Low Power Operation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Design Considerations of a Sub-50 μW Receiver Front-end for Implantable Devices in MedRadio Band.
CoRR, 2017

Secure Human-Internet using dynamic Human Body Communication.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Collaborative intelligence in optical/IR camera based wireless sensor nodes for HVAC control.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

An Energy-Efficient Mixed-Signal Neuron for Inherently Error-Resilient Neuromorphic Systems.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

High efficiency power side-channel attack immunity using noise injection in attenuated signature domain.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017

Staged Inference using Conditional Deep Learning for energy efficient real-time smart diagnosis.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Wearable health monitoring using capacitive voltage-mode Human Body Communication.
Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 2017

Adaptive interference rejection in Human Body Communication using variable duty cycle integrating DDR receiver.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Digitally Assisted Built-In Tuning Using Hamming Distance Proportional Signatures in RF Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A 32 Gb/s Bidirectional 4-channel 4 pJ/b Capacitively Coupled Link in 14 nm CMOS for Proximity Communication.
IEEE J. Solid State Circuits, 2016

23.2 A 32Gb/s bidirectional 4-channel 4pJ/b capacitively coupled link in 14nm CMOS for proximity communication.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

SocialHBC: Social Networking and Secure Authentication using Interference-Robust Human Body Communication.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

A 130nm hybrid low dropout regulator based on switched mode control for digital load circuits.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

Invited - Context-aware energy-efficient communication for IoT sensor nodes.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Real-Time Use-Aware Adaptive RF Transceiver Systems for Energy Efficiency Under BER Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Yield Recovery of RF Transceiver Systems Using Iterative Tuning-Driven Power-Conscious Performance Optimization.
IEEE Des. Test, 2015

Self Learning Analog/Mixed-Signal/RF Systems: Dynamic Adaptation to Workload and Environmental Uncertainties.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
Process-Variation Tolerant Channel-Adaptive Virtually Zero-Margin Low-Power Wireless Receiver Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A 4-32 Gb/s Bidirectional Link With 3-Tap FFE/6-Tap DFE and Collaborative CDR in 22 nm CMOS.
IEEE J. Solid State Circuits, 2014

Design of self-healing mixed-signal/RF systems and support CAD tools: A scalable approach.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

26.2 A 205mW 32Gb/s 3-Tap FFE/6-tap DFE bidirectional serial link in 22nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

26.4 A 25.6Gb/s differential and DDR4/GDDR5 dual-mode transmitter with digital clock calibration in 22nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

Channel-adaptive zero-margin & process-adaptive self-healing communication circuits/systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Self-learning MIMO-RF receiver systems: process resilient real-time adaptation to channel conditions for low power operation.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Built-in self-test and characterization of polar transmitter parameters in the loop-back mode.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Measurement of envelope/phase path delay skew and envelope path bandwidth in polar transmitters.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Efficient system-level testing and adaptive tuning of MIMO-OFDM wireless transmitters.
Proceedings of the 18th IEEE European Test Symposium, 2013

Real-time use-aware adaptive MIMO RF receiver systems for energy efficiency under BER constraints.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Phase Distortion to Amplitude Conversion-Based Low-Cost Measurement of AM-AM and AM-PM Effects in RF Power Amplifiers.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Power-Scalable Channel-Adaptive Wireless Receiver Based on Built-In Orthogonally Tunable LNA.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Low Cost EVM Testing of Wireless RF SoC Front-Ends Using Multitones.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

BIST/Digital-Compatible Testing of RF Devices Using Distortion Model Fitting.
J. Electron. Test., 2012

Concurrent Device/Specification Cause-Effect Monitoring for Yield Diagnosis Using Alternate Diagnostic Signatures.
IEEE Des. Test Comput., 2012

Power Aware Post-Manufacture Tuning of MIMO Receiver Systems.
Proceedings of the 25th International Conference on VLSI Design, 2012

Low-power adaptive RF system design using real-time fuzzy noise-distortion control.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Testing of digitally assisted adaptive analog/RF systems using tuning knob - Performance space estimation.
Proceedings of the 17th IEEE European Test Symposium, 2012

2011
Environment-Adaptive Concurrent Companding and Bias Control for Efficient Power-Amplifier Operation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

A Multiband Transceiver System in 45-nm CMOS for Extended Data Rate through Notchy Wireline Channels.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Automatic test stimulus generation for accurate diagnosis of RF systems using transient response signatures.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Optimized Multitone Test Stimulus Driven Diagnosis of RF Transceivers Using Model Parameter Estimation.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Accurate signature driven power conscious tuning of RF systems using hierarchical performance models.
Proceedings of the 2011 IEEE International Test Conference, 2011

Orthogonally tunable inductorless RF LNA for adaptive wireless systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Real time cross-layer adaptation for minimum energy wireless image transport using bit error rate control.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Low-Power Adaptive Mixed Signal/RF Circuits and Systems and Self-Healing Solutions.
Proceedings of the Low-Power Variation-Tolerant Design in Nanometer Silicon, 2011

2010
Analog Signature- Driven Postmanufacture Multidimensional Tuning of RF Systems.
IEEE Des. Test Comput., 2010

A holistic approach to accurate tuning of RF systems for large and small multiparameter perturbations.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Concurrent process model and specification cause-effect monitoring using alternate diagnostic signatures.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Built-in performance monitoring of mixed-signal/RF front ends using real-time parameter estimation.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

Rapid Radio Frequency Amplitude and Phase Distortion Measurement Using Amplitude Modulated Stimulus.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Digitally Assisted Concurrent Built-In Tuning of RF Systems Using Hamming Distance Proportional Signatures.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Low cost AM/AM and AM/PM distortion measurement using distortion-to-amplitude transformations.
Proceedings of the 2009 IEEE International Test Conference, 2009

Aggressively voltage overscaled adaptive RF systems using error control at the bit and symbol levels.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

Iterative built-in testing and tuning of mixed-signal/RF systems.
Proceedings of the 27th International Conference on Computer Design, 2009

BIST assisted wideband digital compensation for MB-UWB transmitters.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

BIST Driven Power Conscious Post-Manufacture Tuning of Wireless Transceiver Systems Using Hardware-Iterated Gradient Search.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Built-in Test Enabled Diagnosis and Tuning of RF Transmitter Systems.
VLSI Design, 2008

Multidimensional Adaptive Power Management for Low-Power Operation of Wireless Devices.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

ACT: Adaptive Calibration Test for Performance Enhancement and Increased Testability of Wireless RF Front-Ends.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Concurrent Multi-Dimensional Adaptation for Low-Power Operation in Wireless Devices.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Design of process variation tolerant radio frequency low noise amplifier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Pro-VIZOR: process tunable virtually zero margin low power adaptive RF for wireless systems.
Proceedings of the 45th Design Automation Conference, 2008

2007
Biological Data Mining for Genomic Clustering Using Unsupervised Neural Learning.
Eng. Lett., 2007

Feedback Driven Adaptive Power Management for Minimum Power Operation of Wireless Receivers.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

VIZOR: Virtually zero margin adaptive RF for ultra low power wireless communication.
Proceedings of the 25th International Conference on Computer Design, 2007

Testing RF Components with Supply Current Signatures.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Development of a Wireless Integrated Toxic and Explosive MEMS Based Gas Sensor.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

2005
A Novel Algorithm for Automatic Species Identification Using Principal Component Analysis.
Proceedings of the Pattern Recognition and Machine Intelligence, 2005

Application of Neural Networks to Biological Data Mining for Automatic Species Identification.
Proceedings of the 2nd Indian International Conference on Artificial Intelligence, 2005


  Loading...