Paulo F. Butzen

Orcid: 0000-0003-1587-7596

According to our database1, Paulo F. Butzen authored at least 59 papers between 2007 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Evaluating the Reliability of Different Voting Schemes for Fault Tolerant Approximate Systems.
J. Electron. Test., August, 2023

Effect of Unique Table Implementation in the Performance of BDD Packages.
Proceedings of the 36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2023

A Detailed Electrical Analysis of SEE on 28 nm FDSOI SRAM Architectures.
Proceedings of the 36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2023

ATMR design by construction based on two-level ALS.
Proceedings of the 36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, 2023

Evaluation of Digital Circuit Design by Combining Two - and Multi-Level Approximate Logic Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

An Improved method to join BDDs for incompletely specified Boolean functions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

2022
A Two-Level Approximate Logic Synthesis Combining Cube Insertion and Removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Optimizing machine learning logic circuits with constant signal propagation.
Integr., 2022

A Predictive Approach for Conditional Execution of Memristive Material Implication Stateful Logic Operations.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Evaluating Soft Error Reliability of Combinational Circuits Using a Monte Carlo Based Method.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

A Fast Approximate Function Generation Method to ATMR Architecture.
Proceedings of the 13th IEEE Latin America Symposium on Circuits and System, 2022

Possible Reductions to Generate circuits from BDDs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

The Impact of Logic Gates Susceptibility in Overall Circuit Reliability Analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Fault Tolerance Evaluation of Different Majority Voter Designs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
Soft Errors Sensitivity of SRAM Cells in Hold, Write, Read and Half-Selected Conditions.
J. Electron. Test., 2021

Reliability Evaluation of Voters for Fault Tolerant Approximate Systems.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

Benchmarking Open Access VLSI Partitioning Tools.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021

Soft Error Sensibility Window at FinFET DICE SRAM.
Proceedings of the 12th IEEE Latin America Symposium on Circuits and System, 2021


2020
Soft Error Reliability of SRAM cells during the three operation states.
Proceedings of the IEEE Latin-American Test Symposium, 2020

Methods for Susceptibility Analysis of Logic Gates in the Presence of Single Event Transients.
Proceedings of the IEEE International Test Conference, 2020

Contributions to OpenROAD from Abroad: Experiences and Learnings : Invited Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
Exploring Logic Gates Layout to Improve the Accuracy of Circuit Reliability Estimation.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A Simplified Layout-Level method for Single Event Transient Faults Susceptibility on Logic Gates.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

An Improved Technique for Logic Gate Susceptibility Evaluation of Single Event Transient Faults.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

Reduction of neural network circuits by constant and nearly constant signal propagation.
Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, 2019

FBM: A Simple and Fast Algorithm for Placement Legalization.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Proposal and Evaluation of Pin Access Algorithms for Detailed Routing.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

2018
Analysis of 6 T SRAM cell in sub-45 nm CMOS and FinFET technologies.
Microelectron. Reliab., 2018

A Novel SPICE Model of Memristive Devices with Threshold Current Based Control.
Proceedings of the 31st Symposium on Integrated Circuits and Systems Design, 2018

16NM 6T and 8T CMOS SRAM Cell Robustness Against Process Variability and Aging Effects.
Proceedings of the 31st Symposium on Integrated Circuits and Systems Design, 2018

Fault masking ratio analysis of majority voters topologies.
Proceedings of the 19th IEEE Latin-American Test Symposium, 2018

Reliability evaluation of circuits designed in multi- and single-stage versions.
Proceedings of the 9th IEEE Latin American Symposium on Circuits & Systems, 2018

Probabilistic Method for Reliability Estimation of SP- Networks considering Single Event Transient Faults.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

The Suitability of the SPR-MP Method to Evaluate the Reliability of Logic Circuits.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
Exploring BDDs to reduce test pattern set.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

2016
Reliability analysis of hybrid spin transfer torque magnetic tunnel junction/CMOS majority voters.
Microelectron. Reliab., 2016

Inserting permanent fault input dependence on PTM to improve robustness evaluation.
Proceedings of the 29th Symposium on Integrated Circuits and Systems Design, 2016

A probabilistic model for stuck-on faults in combinational logic gates.
Proceedings of the 17th Latin-American Test Symposium, 2016

Reliability analysis of majority voters under permanent faults.
Proceedings of the 17th Latin-American Test Symposium, 2016

PVT variability analysis of FinFET and CMOS XOR circuits at 16nm.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

2015
An Incremental Timing-Driven flow using quadratic formulation for detailed placement.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

An evaluation of BTI degradation of 32nm standard cells.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Automatic circuit generation for sequential logic debug.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

2013
BTI, HCI and TDDB aging impact in flip-flops.
Microelectron. Reliab., 2013

BTI and HCI first-order aging estimation for early use in standard cell technology mapping.
Microelectron. Reliab., 2013

A methodology to evaluate the aging impact on flip-flops performance.
Proceedings of the 26th Symposium on Integrated Circuits and Systems Design, 2013

2012
Design of CMOS logic gates with enhanced robustness against aging degradation.
Microelectron. Reliab., 2012

2011
An Array-Based Test Circuit for Fully Automated Gate Dielectric Breakdown Characterization.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Area impact analysis of via-configurable regular fabric for digital integrated circuit design.
Proceedings of the 24th Symposium on Integrated Circuits and Systems Design, 2011

Impact and optimization of lithography-aware regular layout in digital circuit design.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

2010
Transistor network restructuring against NBTI degradation.
Microelectron. Reliab., 2010

Standby power consumption estimation by interacting leakage current mechanisms in nanoscaled CMOS digital circuits.
Microelectron. J., 2010

Leakage Analysis Considering the Effect of Inter-Cell Wire Resistance for Nanoscaled CMOS Circuits.
J. Low Power Electron., 2010

2009
Routing Resistance Influence in Loading Effect on Leakage Analysis.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

2008
Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

2007
Subthreshold Leakage Modeling and Estimation of General CMOS Complex Gates.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

Modeling Subthreshold Leakage Current in General Transistor Networks.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Modeling and estimating leakage current in series-parallel CMOS networks.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007


  Loading...