Franck Arnaud

According to our database1, Franck Arnaud authored at least 13 papers between 2011 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A tunable and versatile 28nm FD-SOI crossbar output circuit for low power analog SNN inference with eNVM synapses.
CoRR, 2023

An 18nm ePCM with BJT selector NVM design for advanced microcontroller applications.
Proceedings of the IEEE International Memory Workshop, 2023

2021
16MB High Density Embedded PCM macrocell for automotive-grade microcontroller in 28nm FD-SOI, featuring extension to 24MB for Over-The-Air software update.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021


Artificial Intelligence: Why moving it to the Edge?
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

2019
Embedded PCM macro for automotive-grade microcontroller in 28nm FD-SOI.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

28nm FDSOI Platform with Embedded PCM for IoT, ULP, Digital, Analog, Automotive and others Applications.
Proceedings of the 49th European Solid-State Device Research Conference, 2019

2016
28nm FDSOI technology sub-0.6V SRAM Vmin assessment for ultra low voltage applications.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2014
A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization.
IEEE J. Solid State Circuits, 2014

Strain and layout management in dual channel (sSOI substrate, SiGe channel) planar FDSOI MOSFETs.
Proceedings of the 44th European Solid State Device Research Conference, 2014

2013
Ultra-wide body-bias range LDPC decoder in 28nm UTBB FDSOI technology.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
Impact of front-back gate coupling on low frequency noise in 28 nm FDSOI MOSFETs.
Proceedings of the 2012 European Solid-State Device Research Conference, 2012

2011
Challenges and opportunity in performance, variability and reliability in sub-45 nm CMOS technologies.
Microelectron. Reliab., 2011


  Loading...