Brion L. Keller

According to our database1, Brion L. Keller authored at least 41 papers between 1991 and 2015.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2015
A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers.
IEEE Des. Test, 2015

At-Speed Testing of Inter-Die Connections of 3D-SICs in the Presence of Shore Logic.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Efficient testing of hierarchical core-based SOCs.
Proceedings of the 2014 International Test Conference, 2014

2013
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.
Proceedings of the 2013 IEEE International Test Conference, 2013

Automated DfT insertion and test generation for 3D-SICs with embedded cores and multiple towers.
Proceedings of the 18th IEEE European Test Symposium, 2013

2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
Automation of 3D-DfT Insertion.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Reduction of Test Data Volume and Improvement of Diagnosability Using Hybrid Compression.
IEICE Trans. Inf. Syst., 2010

Low cost at-speed testing using On-Product Clock Generation compatible with test compression.
Proceedings of the 2011 IEEE International Test Conference, 2010

2009
Automatic Handling of Programmable On-Product Clock Generation (OPCG) Circuitry for Low Power Aware Delay Test.
J. Low Power Electron., 2009

CTL and Its Usage in the EDA Industry.
IEEE Des. Test Comput., 2009

Capture power reduction using clock gating aware test generation.
Proceedings of the 2009 IEEE International Test Conference, 2009

A Partially-Exhaustive Gate Transition Fault Model.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

Why is Conventional ATPG Not Sufficient for Advanced Low Power Designs?.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Optimizing Test Data Volume Using Hybrid Compression.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

Test Generation for State Retention Logic.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Automated handling of programmable on-product clock generation (OPCG) circuitry for delay test vector generation.
Proceedings of the 2007 IEEE International Test Conference, 2007

Using Programmable On-Product Clock Generation (OPCG) for Delay Test.
Proceedings of the 16th Asian Test Symposium, 2007

A Review of Power Strategies for DFT and ATPG.
Proceedings of the 16th Asian Test Symposium, 2007

2006
OCI: Open Compression Interface.
Proceedings of the 2006 IEEE International Test Conference, 2006

A Scalable Architecture for On-Chip Compression: Options and Trade-Offs.
Proceedings of the 15th Asian Test Symposium, 2006

2005
Use of MISRs for compression and diagnostics.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Encounter test OPMISR<sup>+</sup> on-chip compression.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Practical Aspects of Delay Testing for Nanometer Chips.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
An Economic Analysis and ROI Model for Nanometer Test.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Channel Masking Synthesis for Efficient On-Chip Test Compression.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2002
Extending OPMISR beyond 10x Scan Test Efficiency.
IEEE Des. Test Comput., 2002

2001
CTL the language for describing core-based test.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

OPMISR: the foundation for compressed ATPG vectors.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

High Performance Parallel Fault Simulation.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

A SmartBIST Variant with Guaranteed Encoding.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
Design and implementation of a parallel automatic test pattern generation algorithm with low test vector count.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

Effective parallel processing techniques for the generation of test data for a logic built-in self test system.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

1999
Using STIL to describe embedded core test requirements.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Design and Implementation of a Parallel Weighted Random Pattern and Logic Built in Self Test Algorithm.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
ATPG in practical and non-traditional applications.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

1996
Test methodologies and design automation for IBM ASICs.
IBM J. Res. Dev., 1996

1992
Delay Test: The Next Frontier for LSSD Test Systems.
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992

1991
The Compiled Logic Simulator.
IEEE Des. Test Comput., 1991

Design Automation of Test for the EX/9000<sup>TM</sup> Series Processors.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991


  Loading...