Sandeep Kumar Goel

According to our database1, Sandeep Kumar Goel authored at least 51 papers between 2000 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A Case Study on IEEE 1838 Compliant Multi-Die 3DIC DFT Implementation.
Proceedings of the IEEE International Test Conference, 2023

2022
Innovative Practices Track: Test of 3D ICs & Chiplets.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

Challenges and Solutions for 3D Fabric: A Foundry Perspective.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2020
A 7-nm 4-GHz Arm¹-Core-Based CoWoS¹ Chiplet Design for High-Performance Computing.
IEEE J. Solid State Circuits, 2020

2019
A 7nm 4GHz Arm<sup>®</sup>-core-based CoWoS<sup>®</sup> Chiplet Design for High Performance Computing.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

2015
Abort-on-Fail Test Scheduling for Modular SOCs without and with Preemption.
IEEE Trans. Computers, 2015

Efficient observation-point insertion for diagnosability enhancement in digital circuits.
Proceedings of the 2015 IEEE International Test Conference, 2015

2014
Low-Cost Post-Bond Testing of 3-D ICs Containing a Passive Silicon Interposer Base.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoS<sup>TM</sup>/3D ICs.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

Hybrid/Top-off Test Pattern Generation Schemes for Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

Circuit Topology-Based Test Pattern Generation for Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

Small-Delay Defect Coverage Metrics.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014

2013
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.
Proceedings of the 2013 IEEE International Test Conference, 2013

2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012

Test challenges in designing complex 3D chips: What in on the horizon for EDA industry?: Designer track.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

EDA solutions to new-defect detection in advanced process technologies.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Test-Architecture Optimization and Test Scheduling for TSV-Based 3-D Stacked ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base.
Proceedings of the 2011 IEEE International Test Conference, 2011

DfT Architecture for 3D-SICs with Multiple Towers.
Proceedings of the 16th European Test Symposium, 2011

Automation of 3D-DfT Insertion.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Test-architecture optimization for TSV-based 3D stacked ICs.
Proceedings of the 15th European Test Symposium, 2010

Circuit Topology-Based Test Pattern Generation for Small-Delay Defects.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Testing of SoCs with Hierarchical Cores: Common Fallacies, Test Access Optimization, and Test Scheduling.
IEEE Trans. Computers, 2009

Bridging DFM Analysis and Volume Diagnostics for Yield Learning - A Case Study.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Effective and Efficient Test Pattern Generation for Small Delay Defect.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Comparing the effectiveness of deterministic bridge fault and multiple-detect stuck fault patterns for physical bridge defects: A simulation and silicon study.
Proceedings of the 2009 IEEE International Test Conference, 2009

Accurate measurement of small delay defect coverage of test patterns.
Proceedings of the 2009 IEEE International Test Conference, 2009

2007
Efficient testing and diagnosis of faulty power switches in SOCs.
IET Comput. Digit. Tech., 2007

2006
Testing and Diagnosis of Power Switches in SOCs.
Proceedings of the 11th European Test Symposium, 2006

Hierarchy-aware and area-efficient test infrastructure design for core-based system chips.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Fault detection and diagnosis with parity trees for space compaction of test responses.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Test scheduling for modular SOCs in an abort-on-fail environment.
Proceedings of the 10th European Test Symposium, 2005

On-Chip Test Infrastructure Design for Optimal Multi-Site Testing of System Chips.
Proceedings of the 2005 Design, 2005

2004
IEEE P1500-Compliant Test Wrapper Design for Hierarchical Cores.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

User-constrained test architecture design for modular SOC testing.
Proceedings of the 9th European Test Symposium, 2004

Test Infrastructure Design for the Nexperia? Home Platform PNX8550 System Chip.
Proceedings of the 2004 Design, 2004

Automatic generation of breakpoint hardware for silicon debug.
Proceedings of the 41th Design Automation Conference, 2004

2003
SOC test architecture design for efficient utilization of test bandwidth.
ACM Trans. Design Autom. Electr. Syst., 2003

Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips.
J. Electron. Test., 2003

A Test Time Reduction Algorithm for Test Architecture Design for Core-Based System Chips.
J. Electron. Test., 2003

Control-aware test architecture design for modular SOC testing.
Proceedings of the 8th European Test Workshop, 2003

Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization.
Proceedings of the 2003 Design, 2003

2002
Design for Debug: Catching Design Errors in Digital Chips.
IEEE Des. Test Comput., 2002

Cluster-Based Test Architecture Design for System-on-Chip.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Core-Based Scan Architecture for Silicon Debug.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Test Resource Optimization for Multi-Site Testing of SOCs Under ATE Memory Depth Constraints.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Hierarchical Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Effective and Efficient Test Architecture Design for SOCs.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

A novel test time reduction algorithm for test architecture design for core-based system chips.
Proceedings of the 7th European Test Workshop, 2002

2000
Wrapper design for embedded core test.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000


  Loading...