Luca Cassano

Orcid: 0000-0003-3824-7714

According to our database1, Luca Cassano authored at least 59 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Fast and Accurate Error Simulation for CNNs Against Soft Errors.
IEEE Trans. Computers, April, 2023

Optimizing the Use of Behavioral Locking for High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Resilience of Deep Learning applications: a systematic survey of analysis and hardening techniques.
CoRR, 2023

Towards Dependable RISC-V Cores for Edge Computing Devices.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Built-in Software Obfuscation for Protecting Microprocessors against Hardware Trojan Horses.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Improving the Detection of Hardware Trojan Horses in Microprocessors via Hamming Codes.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

Analyzing the Reliability of Alternative Convolution Implementations for Deep Learning Applications.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Processor Security: Detecting Microarchitectural Attacks via Count-Min Sketches.
IEEE Trans. Very Large Scale Integr. Syst., 2022

A Runtime Resource Management and Provisioning Middleware for Fog Computing Infrastructures.
ACM Trans. Internet Things, 2022

Approximation-Based Fault Tolerance in Image Processing Applications.
IEEE Trans. Emerg. Top. Comput., 2022

Fault Impact Estimation for Lightweight Fault Detection in Image Filtering.
IEEE Trans. Computers, 2022

Is your FPGA bitstream Hardware Trojan-free? Machine learning can provide an answer.
J. Syst. Archit., 2022

DETON: DEfeating hardware Trojan horses in microprocessors through software ObfuscatioN.
J. Syst. Archit., 2022

Design of Fault-Tolerant Distributed Cyber-Physical Systems for Smart Environments.
IEEE Embed. Syst. Lett., 2022

Is RISC-V ready for Space? A Security Perspective.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

Selective Hardening of CNNs based on Layer Vulnerability Estimation.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

On the optimization of Software Obfuscation against Hardware Trojans in Microprocessors.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

Dependability of Alternative Computing Paradigms for Machine Learning: hype or hope?
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

2021
On the Optimization of Behavioral Logic Locking for High-Level Synthesis.
CoRR, 2021

A Lightweight Security Checking Module to Protect Microprocessors against Hardware Trojan Horses.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

Usability-based Cross-Layer Reliability Evaluation of Image Processing Applications.
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021

2020
A Neural Network Based Fault Management Scheme for Reliable Image Processing.
IEEE Trans. Computers, 2020

A methodology for the design and deployment of distributed cyber-physical systems for smart environments.
Future Gener. Comput. Syst., 2020

Lightweight Protection of Cryptographic Hardware Accelerators against Differential Fault Analysis.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Error Modeling for Image Processing Filters accelerated onto SRAM-based FPGAs.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

A Microprocessor Protection Architecture against Hardware Trojans in Memories.
Proceedings of the 15th Design & Technology of Integrated Systems in Nanoscale Era, 2020

Lightweight Fault Detection and Management for Image Restoration.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

An Approximation-based Fault Detection Scheme for Image Processing Applications.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
HATE: a HArdware Trojan Emulation Environment for Microprocessor-based Systems.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Protecting RSA Hardware Accelerators against Differential Fault Analysis through Residue Checking.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

A Smart Fault Detection Scheme for Reliable Image Processing Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
OLT(RE)<sup>2</sup>: An On-Line On-Demand Testing Approach for Permanent Radiation Effects in Reconfigurable Systems.
IEEE Trans. Emerg. Top. Comput., 2018

2017
A Fully Automated and Configurable Cost-Aware Framework for Adaptive Functional Diagnosis.
IEEE Des. Test, 2017

2016
A Novel Approach to Incremental Functional Diagnosis for Complex Electronic Boards.
IEEE Trans. Computers, 2016

UA<sup>2</sup>TPG: An untestability analyzer and test pattern generator for SEUs in the configuration memory of SRAM-based FPGAs.
Integr., 2016

Adapting the Duty Cycle to Traffic Load in a Preamble Sampling MAC for WSNs: Formal Specification and Performance Evaluation.
Ad Hoc Sens. Wirel. Networks, 2016

Lifetime-aware load distribution policies in multi-core systems: An in-depth analysis.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
An Expert CAD Flow for Incremental Functional Diagnosis of Complex Electronic Boards.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

SRAM-Based FPGA Systems for Safety-Critical Applications: A Survey on Design Standards and Proposed Methodologies.
J. Comput. Sci. Technol., 2015

A configurable board-level adaptive incremental diagnosis technique based on decision trees.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

2014
Design and Safety Verification of a Distributed Charge Equalizer for Modular Li-Ion Batteries.
IEEE Trans. Ind. Informatics, 2014

ASSESS: A Simulator of Soft Errors in the Configuration Memory of SRAM-Based FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Analysis and test of the effects of single event upsets affecting the configuration memory of SRAM-based FPGAs.
Proceedings of the 2014 International Test Conference, 2014

Early assessment of SEU sensitivity through untestable fault identification.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

A novel adaptive fault tolerant flip-flop architecture based on TMR.
Proceedings of the 19th IEEE European Test Symposium, 2014

An inter-processor communication interface for data-flow centric heterogeneous embedded multiprocessor systems.
Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014

Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Machine learning-based techniques for incremental functional diagnosis: A comparative analysis.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Modeling and Simulation of Energy-Aware Adaptive Policies for Automatic Weather Stations.
Proceedings of the International Workshop on Engineering Simulations for Cyber-Physical Systems, 2014

2013
Analysis and Test of the Effects of Single Event Upsets Affecting the Configuration Memory of SRAM-based FPGAs.
PhD thesis, 2013

GABES: A genetic algorithm based environment for SEU testing in SRAM-FPGAs.
J. Syst. Archit., 2013

Mitigation of Single Event Upsets in the control logic of a charge equalizer for Li-ion batteries.
Proceedings of the IECON 2013, 2013

Unexcitability analysis of SEus affecting the routing structure of SRAM-based FPGAs.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

On-line testing of permanent radiation effects in reconfigurable systems.
Proceedings of the Design, Automation and Test in Europe, 2013

Formal approaches to SEU testing in FPGAs.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

2012
SEU-X: A SEu un-excitability prover for SRAM-FPGAs.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Accurate simulation of SEUs in the configuration memory of SRAM-based FPGAs.
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012

2011
Failure Probability and Fault Observability of SRAM-FPGA Systems.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Failure probability of SRAM-FPGA systems with Stochastic Activity Networks.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011


  Loading...