Ramon Canal

Orcid: 0000-0003-4542-204X

According to our database1, Ramon Canal authored at least 85 papers between 1999 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Deep-Learning Based Detection for Cyber-Attacks in IoT Networks: A Distributed Attack Detection Framework.
J. Netw. Syst. Manag., April, 2023

A Survey of Machine and Deep Learning Methods for Privacy Protection in the Internet of Things.
Sensors, February, 2023

An automotive case study on the limits of approximation for object detection.
J. Syst. Archit., 2023

SafeLS: Toward Building a Lockstep NOEL-V Core.
CoRR, 2023

Vitamin-V: Virtual Environment and Tool-boxing for Trustworthy Development of RISC-V based Cloud Services.
CoRR, 2023

NEUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS.
CoRR, 2023

A 3D Terrain Generator: Enhancing Robotics Simulations with GANs.
Proceedings of the Machine Learning, Optimization, and Data Science, 2023

SafeLS: An Open Source Implementation of a Lockstep NOEL-V RISC-V Core.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023


Validation, Verification, and Testing (VVT) of future RISC-V powered cloud infrastructures: the Vitamin-V Horizon Europe Project perspective.
Proceedings of the IEEE European Test Symposium, 2023


2022
Small-layered Feed-Forward and Convolutional neural networks for efficient P wave earthquake detection.
Expert Syst. Appl., November, 2022

A Real-Time Error Detection (RTD) Architecture and Its Use for Reliability and Post-Silicon Validation for F/F Based Memory Arrays.
IEEE Trans. Emerg. Top. Comput., 2022

Transfer-Learning-Based Intrusion Detection Framework in IoT Networks.
Sensors, 2022

SafeDX: Standalone Modules Providing Diverse Redundancy for Safety-Critical Applications.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

SafeX: Open Source Hardware and Software Components for Safety-Critical Systems.
Proceedings of the Forum on Specification & Design Languages, 2022


2021
Fast and Accurate SER Estimation for Large Combinational Blocks in Early Stages of the Design.
IEEE Trans. Sustain. Comput., 2021

A cost-efficient QoS-aware analytical model of future software content delivery networks.
Int. J. Netw. Manag., 2021

Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives.
ACM Comput. Surv., 2021

A Survey of Deep Learning Techniques for Cybersecurity in Mobile Networks.
IEEE Commun. Surv. Tutorials, 2021

Malicious Website Detection Through Deep Learning Algorithms.
Proceedings of the Machine Learning, Optimization, and Data Science, 2021

SRAM Arrays with Built-in Parity Computation for Real-Time Error Detection in Cache Tag Arrays.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
The RECIPE approach to challenges in deeply heterogeneous high performance systems.
Microprocess. Microsystems, 2020

Privacy Preserving Deep Learning Framework in Fog Computing.
Proceedings of the Machine Learning, Optimization, and Data Science, 2020

Lightweight Protection of Cryptographic Hardware Accelerators against Differential Fault Analysis.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Cross-Layer Soft-Error Resilience Analysis of Computing Systems.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

2D Error Correction for F/F based Arrays using In-Situ Real-Time Error Detection (RTD).
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
SyRA: Early System Reliability Analysis for Cross-Layer Soft Errors Resilience in Memory Arrays of Microprocessor Systems.
IEEE Trans. Computers, 2019

Challenges in Deeply Heterogeneous High Performance Systems.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Protecting RSA Hardware Accelerators against Differential Fault Analysis through Residue Checking.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

2018
Optimization of FinFET-Based Gain Cells for Low Power Sub-<i>V</i> <sub>T</sub> Embedded DRAMs.
J. Low Power Electron., 2018

Platform-Agnostic Steal-Time Measurement in a Guest Operating System.
CoRR, 2018

Modem Gain-Cell Memories in Advanced Technologies.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

2017
Suitability of FinFET introduction into eDRAM cells for operate at sub-threshold level.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

MeRLiN: Exploiting Dynamic Instruction Behavior for Fast and Accurate Microarchitecture Level Reliability Assessment.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

2016
Feasibility of Embedded DRAM Cells on FinFET Technology.
IEEE Trans. Computers, 2016

Cross-layer system reliability assessment framework for hardware faults.
Proceedings of the 2016 IEEE International Test Conference, 2016

Statistical analysis and comparison of 2T and 3T1D e-DRAM minimum energy operation.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

SRAM memory margin probability failure estimation using Gaussian Process regression.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

MASkIt: Soft error rate estimation for combinational circuits.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

A detailed methodology to compute Soft Error Rates in advanced technologies.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Variability Influence on FinFET-Based On-Chip Memory Data Paths.
J. Low Power Electron., 2015

2014
Strategies to enhance the 3T1D-DRAM cell variability robustness beyond 22 nm.
Microelectron. J., 2014

Cross-layer early reliability evaluation: Challenges and promises.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

REEM: Failure/non-failure region estimation method for SRAM yield analysis.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

iRMW: A low-cost technique to reduce NBTI-dependent parametric failures in L1 data caches.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

SSFB: A highly-efficient and scalable simulation reduction technique for SRAM yield analysis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

DRAM-based coherent caches and how to take advantage of the coherence protocol to reduce the refresh energy.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

INFORMER: An integrated framework for early-stage memory robustness analysis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Thread Row Buffers: Improving Memory Performance Isolation and Throughput in Multiprogrammed Environments.
IEEE Trans. Computers, 2013

Variability robustness enhancement for 7nm FinFET 3T1D-DRAM cells.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

Effectiveness of hybrid recovery techniques on parametric failures.
Proceedings of the International Symposium on Quality Electronic Design, 2013

An energy-efficient and scalable eDRAM-based register file architecture for GPGPU.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Enhancing Performance and Energy Consumption of HER Caches by Adding Associativity.
Proceedings of the Euro-Par 2013: Parallel Processing Workshops, 2013

Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Distributed Cooperative Caching: An Energy Efficient Memory Scheme for Chip Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 2012

Impact of positive bias temperature instability (PBTI) on 3T1D-DRAM cells.
Integr., 2012

Analysis of FinFET technology on memories.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

Enhancing 3T DRAMs for SRAM replacement under 10nm tri-gate SOI FinFETs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

A novel variation-tolerant 4T-DRAM cell with enhanced soft-error tolerance.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

2011
TRAMS Project: Variability and Reliability of SRAM Memories in sub-22 nm Bulk-CMOS Technologies.
Proceedings of the 2nd European Future Technologies Conference and Exhibition, 2011

New reliability mechanisms in memory design for sub-22nm technologies.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Dynamic fine-grain body biasing of caches with latency and leakage 3T1D-based monitors.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

2010
MODEST: a model for energy estimation under spatio-temporal variability.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

Power-Efficient Spilling Techniques for Chip Multiprocessors.
Proceedings of the Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31, 2010

Circuit propagation delay estimation through multivariate regression-based modeling under spatio-temporal variability.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
An hybrid eDRAM/SRAM macrocell to implement first-level data caches.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

Using Coherence Information and Decay Techniques to Optimize L2 Cache Leakage in CMPs.
Proceedings of the ICPP 2009, 2009

2008
Power/Performance/Thermal Design-Space Exploration for Multicore Architectures.
IEEE Trans. Parallel Distributed Syst., 2008

Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability.
IEEE Micro, 2008

Distributed cooperative caching.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
Process Variation Tolerant 3T1D-Based Cache Architectures.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

2006
Design space exploration for multicore architectures: a power/performance/thermal view.
Proceedings of the 20th Annual International Conference on Supercomputing, 2006

2005
Value Compression for Efficient Computation.
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005

2004
Power- and Performance - Aware Architectures.
PhD thesis, 2004

Software-Controlled Operand-Gating.
Proceedings of the 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 2004

2003
Power- and Complexity-Aware Issue Queue Designs.
IEEE Micro, 2003

2001
Dynamic Code Partitioning for Clustered Architectures.
Int. J. Parallel Program., 2001

Reducing the complexity of the issue logic.
Proceedings of the 15th international conference on Supercomputing, 2001

2000
Very low power pipelines using significance compression.
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000

A low-complexity issue logic.
Proceedings of the 14th international conference on Supercomputing, 2000

Dynamic Cluster Assignment Mechanisms.
Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, 2000

1999
A Cost-Effective Clustered Architecture.
Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, 1999


  Loading...