Mitsumasa Koyanagi

Orcid: 0000-0003-4726-4217

According to our database1, Mitsumasa Koyanagi authored at least 66 papers between 1991 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 1997, "For the invention of the stacked capacitor DRAM cell.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Impact of Super-long-throw PVD on TSV Metallization and Die-to-Wafer 3D Integration Based on Via-last.
Proceedings of the IEEE International 3D Systems Integration Conference, 2023

Copper Electrode Surface Features and Cu-SiO2Hybrid Bonding.
Proceedings of the IEEE International 3D Systems Integration Conference, 2023

2021
Cu-Cu Direct Bonding Through Highly Oriented Cu Grains for 3D-LSI Applications.
Proceedings of the IEEE International 3D Systems Integration Conference, 2021

Design for 3-D Stacked Neural Network Circuit with Cyclic Analog Computing.
Proceedings of the IEEE International 3D Systems Integration Conference, 2021

Implementation of a Chaotic Neural Network Reservoir on a TSV/$\mu\text{Bump}$ Stacked 3D Cyclic Neural Network Integrated Circuit.
Proceedings of the IEEE International 3D Systems Integration Conference, 2021

Integration of Damage-less Probe Cards Using Nano-TSV Technology for Microbumped Wafer Testing.
Proceedings of the IEEE International 3D Systems Integration Conference, 2021

2020
3D Heterogeneous Integration Technology for AI System.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

2019
Fabrication and Morphological Characterization of Nano-Scale Interconnects for 3D-Integration.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

Growth Optimization of Multi-Layer Graphene for Thermal-TSV Application in 3D-LSI.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

Cu-Cu Bonding Challenges with 'i-ACF' for Advanced 3D Integration.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2016
Oxide-Oxide Thermocompression Direct Bonding Technologies with Capillary Self-Assembly for Multichip-to-Wafer Heterogeneous 3D System Integration.
Micromachines, 2016

Improving the integrity of Ti barrier layer in Cu-TSVs through self-formed TiSix for via-last TSV technology.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

3 Dimensional stacked pixel detector and sensor technology using less than 3-μmφ robust bump junctions.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

Nano-scale Cu direct bonding using ultra-high density Cu nano-pillar (CNP) for high yield exascale 2.5/3D integration applications.
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

New concept of TSV formation methodology using Directed Self-Assembly (DSA).
Proceedings of the 2016 IEEE International 3D Systems Integration Conference, 2016

2015
Recent progress in 3D integration technology.
IEICE Electron. Express, 2015

Impacts of 3-D integration processes on device reliabilities in thinned DRAM chip for 3-D DRAM.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Vacuum-assisted-spin-coating of polyimide liner for high-aspect-ratio TSVs applications.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

Novel local stress evaluation method in 3D IC using DRAM cell array with planar mOS capacitors.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

Reconfigured multichip-on-wafer (mCoW) Cu/oxide hybrid bonding technology for ultra-high density 3D integration using recessed oxide, thin glue adhesive, and thin metal capping layers.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

Consideration of microbump layout for reduction of local bending stress due to CTE Mismatch in 3D IC.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

Transfer and non-transfer stacking technologies based on chip-to-wafer self-asembly for high-throughput and high-precision alignment and microbump bonding.
Proceedings of the 2015 International 3D Systems Integration Conference, 2015

2014
Effects of electro-less Ni layer as barrier/seed layers for high reliable and low cost Cu TSV.
Proceedings of the 2014 International 3D Systems Integration Conference, 2014

Tiny VCSEL chip self-assembly for advanced chip-to-wafer 3D and hetero integration.
Proceedings of the 2014 International 3D Systems Integration Conference, 2014

2013
Effect of CVD Mn oxide layer as Cu diffusion barrier for TSV.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

Impact of 3-D integration process on memory retention characteristics in thinned DRAM chip for 3-D memory.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

A block-parallel ADC with digital noise cancelling for 3-D stacked CMOS image sensor.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

Highly efficient TSV repair technology for resilient 3-D stacked multicore processor system.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

3D memory chip stacking by multi-layer self-assembly technology.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

Development of via-last 3D integration technologies using a new temporary adhesive system.
Proceedings of the 2013 IEEE International 3D Systems Integration Conference (3DIC), 2013

2012

2011
Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration.
Micromachines, 2011

3D super chip technology to achieve low-power and high-performance system-on-a chip.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Novel detachable bonding process with wettability control of bonding surface for versatile chip-level 3D integration.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

Fabrication tolerance evaluation of high efficient unidirectional optical coupler for though silicon photonic via in optoelectronic 3D-LSI.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

High density Cu-TSVs and reliability issues.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

W/Cu TSVs for 3D-LSI with minimum thermo-mechanical stress.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

Stacked SOI pixel detector using versatile fine pitch μ-bump technology.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

High reliable and fine size of 5-μm diameter backside Cu through-silicon Via(TSV) for high reliability and high-end 3-D LSIs.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

A very low area ADC for 3-D stacked CMOS image processing system.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

High-bandwidth data transmission of new transceiver module through optical interconnection.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

Chip-level TSV integration for rapid prototyping of 3D system LSIs.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

Temporary bonding strength control for self-assembly-based 3D integration.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
Three-dimensional integration technology using through-si via based on reconfigured wafer-to-wafer bonding.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2010

Through Silicon photonic via (TSPV) with Si core for low loss and high-speed data transmission in opto-electronic 3-D LSI.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

Impact of microbump induced stress in thinned 3D-LSIs after wafer bonding.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

A block-parallel signal processing system for CMOS image sensor with three-dimensional structure.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

Evaluation of alignment accuracy on chip-to-wafer self-assembly and mechanism on the direct chip bonding at room temperature.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
High-Density Through Silicon Vias for 3-D LSIs.
Proc. IEEE, 2009

Three-dimensional integration technology and integrated systems.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

10 µm fine pitch Cu/Sn micro-bumps for 3-D super-chip stack.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

Heterogeneous integration technology for MEMS-LSI multi-chip module.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

A parallel ADC for high-speed CMOS image processing system with 3D structure.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

3D integration technology for 3D stacked retinal chip.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

Development of a new self-assembled die bonder to three-dimensionally stack known good dies in batch.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

Micro-Raman spectroscopy analysis and capacitance - time (C-t) measurement of thinned silicon substrates for 3D integration.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

2006
Development of a High Speed Vision System for Mobile Robots.
Proceedings of the 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2006

2005
Dynamic Multi-Context Reconfiguration Scheme for Reconfigurable Parallel Image Processing System with Three Dimensional Structure.
Proceedings of the 18th International Conference on Architecture of Computing Systems, 2005

2004
Design and Evaluation of a Novel Real-Shared Cache Module for High Performance Parallel Processor Chip.
Proceedings of the Parallel and Distributed Computing: Applications and Technologies, 2004

Design of A Novel Real-Shared Memory Module for High Performance Parallel Processor System with Shared Memory.
Proceedings of the 18th International Conference on Advanced Information Networking and Applications (AINA 2004), 2004

2003
Parallel image processing field programmable gate array for real time image processing system.
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003

2002
Nanometer design: what hurts next...?
Proceedings of the 39th Design Automation Conference, 2002

2000
Smart Vision Chip Fabricated Using Three Dimensional Integration Technology.
Proceedings of the Advances in Neural Information Processing Systems 13, 2000

1998
Future system-on-silicon LSI chips.
IEEE Micro, 1998

A New Multiport Memory for High Performance Parallel Processor System with Shared Memory.
Proceedings of the ASP-DAC '98, 1998

1991
A New Chip Architecture for VLSIs - Optical Coupled 3D Common Memory and Optical Interconnections.
Proceedings of the VLSI 91, 1991


  Loading...