Xiaoxin Xu

Orcid: 0000-0002-0277-1314

According to our database1, Xiaoxin Xu authored at least 38 papers between 1999 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Binary-Stochasticity-Enabled Highly Efficient Neuromorphic Deep Learning Achieves Better-than-Software Accuracy.
Adv. Intell. Syst., January, 2024

2023
A 40-nm SONOS Digital CIM Using Simplified LUT Multiplier and Continuous Sample-Hold Sense Amplifier for AI Edge Inference.
IEEE Trans. Very Large Scale Integr. Syst., December, 2023

Transport mechanism in Hf0.5Zr0.5O2-based ferroelectric diodes.
Sci. China Inf. Sci., December, 2023

A 28-nm RRAM Computing-in-Memory Macro Using Weighted Hybrid 2T1R Cell Array and Reference Subtracting Sense Amplifier for AI Edge Inference.
IEEE J. Solid State Circuits, October, 2023

Area-Efficient 1T-2D-2MTJ SOT-MRAM Cell for High Read Performance.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

An ADC-Less RRAM-Based Computing-in-Memory Macro With Binary CNN for Efficient Edge AI.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023

A Security-Enhanced, Charge-Pump-Free, ISO14443-A-/ISO10373-6-Compliant RFID Tag With 16.2-μW Embedded RRAM and Reconfigurable Strong PUF.
IEEE Trans. Very Large Scale Integr. Syst., February, 2023

Echo state graph neural networks with analogue random resistive memory arrays.
Nat. Mac. Intell., February, 2023

High-speed and low-power embedded TEC BCH scheme for ReRAM array.
IEICE Electron. Express, 2023

Random resistive memory-based deep extreme point learning machine for unified visual processing.
CoRR, 2023

Pruning random resistive memory for optimizing analogue AI.
CoRR, 2023

Resistive memory-based zero-shot liquid state machine for multimodal event data learning.
CoRR, 2023

Binary stochasticity enabled highly efficient neuromorphic deep learning achieves better-than-software accuracy.
CoRR, 2023

2022
A 0.02% Accuracy Loss Voltage-Mode Parallel Sensing Scheme for RRAM-Based XNOR-Net Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A 13 µW Analog Front-End with RRAM-Based Lowpass FIR Filter for EEG Signal Detection.
Sensors, 2022

A Novel Hybrid CNN-LSTM Compensation Model Against DoS Attacks in Power System State Estimation.
Neural Process. Lett., 2022

A 2D2R ReRAM CIM accelerator for multilayer perceptron in visual classification applications.
Microelectron. J., 2022

State prediction using LSTM with optimized PMU deployment against DoS attacks.
J. Intell. Fuzzy Syst., 2022

Efficient Training of the Memristive Deep Belief Net Immune to Non-Idealities of the Synaptic Devices.
Adv. Intell. Syst., 2022

Convolutional Echo-State Network with Random Memristors for Spatiotemporal Signal Classification.
Adv. Intell. Syst., 2022

Mixed-Precision Continual Learning Based on Computational Resistance Random Access Memory.
Adv. Intell. Syst., 2022

Few-shot graph learning with robust and energy-efficient memory-augmented graph neural network (MAGNN) based on homogeneous computing-in-memory.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

3D Reservoir Computing with High Area Efficiency (5.12 TOPS/mm<sup>2</sup>) Implemented by 3D Dynamic Memristor Array for Temporal Signal Processing.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Machine Learning-enabled Performance Model for DNN Applications and AI Accelerator.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

A 55nm 32Mb Digital Flash CIM Using Compressed LUT Multiplier and Low Power WL Voltage Trimming Scheme for AI Edge Inference.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Efficient and Robust Nonvolatile Computing-In-Memory Based on Voltage Division in 2T2R RRAM With Input-Dependent Sensing Control.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

High-Density 3-D Stackable Crossbar 2D2R nvTCAM With Low-Power Intelligent Search for Fast Packet Forwarding in 5G Applications.
IEEE J. Solid State Circuits, 2021

Echo state graph neural networks with analogue random resistor arrays.
CoRR, 2021

Investigation of weight updating modes on oxide-based resistive switching memory synapse towards neuromorphic computing applications.
Sci. China Inf. Sci., 2021

24.2 A 14nm-FinFET 1Mb Embedded 1T1R RRAM with a 0.022µ m<sup>2</sup> Cell Size Using Self-Adaptive Delayed Termination and Multi-Cell Reference.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Scaling Potential Analysis for the CMOS Compatible Ox-RRAM.
Proceedings of the IEEE International Memory Workshop, 2021

Effect of conductive filament morphology on soft error of oxide based Resistive Random Access Memory.
Proceedings of the 2021 IEEE International Conference on Integrated Circuits, 2021

2020
A 28nm 1.5Mb Embedded 1T2R RRAM with 14.8 Mb/mm<sup>2</sup> using Sneaking Current Suppression and Compensation Techniques.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

2019
A 0.75 V reference clamping sense amplifier for low-power high-density ReRAM with dynamic pre-charge technique.
IEICE Electron. Express, 2019

A High Reliability 500 µW Resistance-to-Digital Interface Circuit for SnO2 Gas Sensor IoT Applications.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Nonvolatile Crossbar 2D2R TCAM with Cell Size of 16.3 F<sup>2</sup> and K-means Clustering for Power Reduction.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2016
An analysis of the sales and consumer preferences of e-cigarettes based on text mining of online reviews.
Proceedings of the 3rd International Conference on Systems and Informatics, 2016

1999
Peptide nucleic acid (PNA) binding-mediated induction of human gamma- globin gene expression.
Nucleic Acids Res., 1999


  Loading...