Andrea Bartolini

Orcid: 0000-0002-1148-2450

Affiliations:
  • University of Bologna, Italy


According to our database1, Andrea Bartolini authored at least 169 papers between 1998 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
ControlPULP: A RISC-V On-Chip Parallel Power Controller for Many-Core HPC Processors with FPGA-Based Hardware-In-The-Loop Power and Thermal Emulation.
Int. J. Parallel Program., April, 2024

Assessing the Performance of OpenTitan as Cryptographic Accelerator in Secure Open-Hardware System-on-Chips.
CoRR, 2024

TitanCFI: Toward Enforcing Control-Flow Integrity in the Root-of-Trust.
CoRR, 2024

2023
Directly-trained Spiking Neural Networks for Deep Reinforcement Learning: Energy efficient implementation of event-based obstacle avoidance on a neuromorphic accelerator.
Neurocomputing, December, 2023

Dataset of the HazardNet: A Thermal Hazard Prediction Framework for Datacenters.
Dataset, October, 2023

RUAD: Unsupervised anomaly detection in HPC systems.
Future Gener. Comput. Syst., April, 2023

ExaMon-X: A Predictive Maintenance Framework for Automatic Monitoring in Industrial IoT Systems.
IEEE Internet Things J., February, 2023

Design of an energy aware petaflops class high performance cluster based on power architecture.
CoRR, 2023

Experimenting with Emerging ARM and RISC-V Systems for Decentralised Machine Learning.
CoRR, 2023

Graph-Optimizer: Towards Predictable Large-Scale Graph Processing Workloads.
Proceedings of the Companion of the 2023 ACM/SPEC International Conference on Performance Engineering, 2023

Graph Neural Networks for Anomaly Anticipation in HPC Systems.
Proceedings of the Companion of the 2023 ACM/SPEC International Conference on Performance Engineering, 2023

PM100: A Job Power Consumption Dataset of a Large-scale Production HPC System.
Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, 2023


RUST-Encoded Stream Ciphers on a RISC-V Parallel Ultra-Low-Power Processor (Invited Paper).
Proceedings of the 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2023

The Graph-Massivizer Approach Toward a European Sustainable Data Center Digital Twin.
Proceedings of the 47th IEEE Annual Computers, Software, and Applications Conference, 2023

Experimenting with Emerging RISC-V Systems for Decentralised Machine Learning.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

DECICE: Device-Edge-Cloud Intelligent Collaboration Framework.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

2022
Anomaly Detection and Anticipation in High Performance Computing Systems.
IEEE Trans. Parallel Distributed Syst., 2022

Making the Most of Scarce Input Data in Deep Learning-Based Source Code Classification for Heterogeneous Device Mapping.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rule-Based Thermal Anomaly Detection for Tier-0 HPC Systems.
Proceedings of the High Performance Computing. ISC High Performance 2022 International Workshops - Hamburg, Germany, May 29, 2022

Monte Cimone: Paving the Road for the First Generation of RISC-V High-Performance Computers.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

ControlPULP: A RISC-V Power Controller for HPC Processors with Parallel Control-Law Computation Acceleration.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

Time and Frequency Domain Assessment of Low-Power MEMS Accelerometers for Structural Health Monitoring.
Proceedings of the IEEE International Workshop on Metrology for Industry 4.0 & IoT, 2022

Machine Learning Methodologies to Support HPC Systems Operations: Anomaly Detection.
Proceedings of the Euro-Par 2022: Parallel Processing Workshops, 2022

Analysing Supercomputer Nodes Behaviour with the Latent Representation of Deep Learning Models.
Proceedings of the Euro-Par 2022: Parallel Processing, 2022

Artificial versus spiking neural networks for reinforcement learning in UAV obstacle avoidance.
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022

Semi-supervised anomaly detection on a Tier-0 HPC system.
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022

Meet Monte Cimone: exploring RISC-V high performance compute clusters.
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022

Multi-level anomaly prediction in Tier-0 datacenter: a deep learning approach.
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022

Modeling the Thermal and Power Control Subsystem in HPC Processors.
Proceedings of the IEEE Conference on Control Technology and Applications, 2022

Smart Contracts for Certified and Sustainable Safety-Critical Continuous Monitoring Applications.
Proceedings of the Advances in Databases and Information Systems, 2022

2021
HPC Cooling: A Flexible Modeling Tool for Effective Design and Management.
IEEE Trans. Sustain. Comput., 2021

COUNTDOWN: A Run-Time Library for Performance-Neutral Energy Saving in MPI Applications.
IEEE Trans. Computers, 2021

Spiking Neural Network-Based Near-Sensor Computing for Damage Detection in Structural Health Monitoring.
Future Internet, 2021

Application instrumentation for performance analysis and tuning with focus on energy efficiency.
Concurr. Comput. Pract. Exp., 2021

DiG: enabling out-of-band scalable high-resolution monitoring for data-center analytics, automation and control (extended).
Clust. Comput., 2021

An Explainable Model for Fault Detection in HPC Systems.
Proceedings of the High Performance Computing - ISC High Performance Digital 2021 International Workshops, Frankfurt am Main, Germany, June 24, 2021

Damage Detection in Structural Health Monitoring with Spiking Neural Networks.
Proceedings of the IEEE International Workshop on Metrology for Industry 4.0 & IoT, 2021

Source Code Classification for Energy Efficiency in Parallel Ultra Low-Power Microcontrollers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Prediction of Thermal Hazards in a Real Datacenter Room Using Temporal Convolutional Networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021


2020
Countdown Slack: A Run-Time Library to Reduce Energy Footprint in Large-Scale MPI Applications.
IEEE Trans. Parallel Distributed Syst., 2020

Thermal Model Identification of Computing Nodes in High-Performance Computing Systems.
IEEE Trans. Ind. Electron., 2020

Robust Identification of Thermal Models for In-Production High-Performance-Computing Clusters With Machine Learning-Based Data Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

pAElla: Edge AI-Based Real-Time Malware Detection in Data Centers.
IEEE Internet Things J., 2020

A machine learning approach to online fault classification in HPC systems.
Future Gener. Comput. Syst., 2020

An Open-Source Scalable Thermal and Power Controller for HPC Processors.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks.
Proceedings of the Euro-Par 2020: Parallel Processing Workshops, 2020

Toward an End-to-End Auto-tuning Framework in HPC PowerStack.
Proceedings of the IEEE International Conference on Cluster Computing, 2020

2019
The ANTAREX domain specific language for high performance computing.
Microprocess. Microsystems, 2019

Pricing schemes for energy-efficient HPC systems: Design and exploration.
Int. J. High Perform. Comput. Appl., 2019

A semisupervised autoencoder-based approach for anomaly detection in high performance computing systems.
Eng. Appl. Artif. Intell., 2019

The ANTAREX Domain Specific Language for High Performance Computing.
CoRR, 2019

Overview of Application Instrumentation for Performance Analysis and Tuning.
Proceedings of the Parallel Processing and Applied Mathematics, 2019

Evaluating the Advantage of Reactive MPI-aware Power Control Policies.
Proceedings of the Parallel Processing and Applied Mathematics, 2019


Prediction of Time-to-Solution in Material Science Simulations Using Deep Learning.
Proceedings of the Platform for Advanced Scientific Computing Conference, 2019

Evaluation of DVFS and Uncore Frequency Tuning Under Power Capping on Intel Broadwell Architecture.
Proceedings of the Parallel Computing: Technology Trends, 2019

Towards Pan-European Power Grid Modelling in Modelica: Design Principles and a Prototype for a Reference Power System Library.
Proceedings of the 13th International Modelica Conference, Regensburg, Germany, 2019

Paving the Way Toward Energy-Aware and Automated Datacentre.
Proceedings of the 48th International Conference on Parallel Processing, 2019

A Matheuristic Approach for Resource Scheduling and Design of a Multi-energy System.
Proceedings of the 8th International Conference on Operations Research and Enterprise Systems, 2019

A PULP-based Parallel Power Controller for Future Exascale Systems.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

Thermal Characterization of a Tier0 Datacenter Room in Normal and Thermal Emergency Conditions.
Proceedings of the High Performance Computing in Science and Engineering, 2019

Online Fault Classification in HPC Systems Through Machine Learning.
Proceedings of the Euro-Par 2019: Parallel Processing, 2019

Online Anomaly Detection in HPC Systems.
Proceedings of the IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019

Anomaly Detection Using Autoencoders in High Performance Computing Systems.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

2018
Quantifying the Impact of Variability and Heterogeneity on the Energy Efficiency for a Next-Generation Ultra-Green Supercomputer.
IEEE Trans. Parallel Distributed Syst., 2018

Energy-Aware Bio-Signal Compressed Sensing Reconstruction on the WBSN-Gateway.
IEEE Trans. Emerg. Top. Comput., 2018

Scheduling-based power capping in high performance computing systems.
Sustain. Comput. Informatics Syst., 2018

Self-Aware Thermal Management for High-Performance Computing Processors.
IEEE Des. Test, 2018

Robust online identification of thermal models for in-production HPC clusters with machine learning-based data selection.
CoRR, 2018

COUNTDOWN - three, two, one, low power! A Run-time Library for Energy Saving in MPI Communication Primitives.
CoRR, 2018

Dwarf in a Giant: Enabling Scalable, High-Resolution HPC Energy Monitoring for Real-Time Profiling and Analytics.
CoRR, 2018

Energy and Power Aware Job Scheduling and Resource Management: Global Survey - Initial Analysis.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

A Scalable Framework for Online Power Modelling of High-Performance Computing Nodes in Production.
Proceedings of the 2018 International Conference on High Performance Computing & Simulation, 2018

FINJ: A Fault Injection Tool for HPC Systems.
Proceedings of the Euro-Par 2018: Parallel Processing Workshops, 2018

ANTAREX: A DSL-Based Approach to Adaptively Optimizing and Enforcing Extra-Functional Properties in High Performance Computing.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not?
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018


The D.A.V.I.D.E. big-data-powered fine-grain power and performance monitoring support.
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018

A LoRaWAN Wireless Sensor Network for Data Center Temperature Monitoring.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2018

Evaluation of NTP/PTP fine-grain synchronization performance in HPC clusters.
Proceedings of the 2nd Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2018

COUNTDOWN: a run-time library for application-agnostic energy saving in MPI communication primitives.
Proceedings of the 2nd Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2018

2017
WARM: Workload-Aware Reliability Management in Linux/Android.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing.
IEEE Trans. Computers, 2017

Energy Analysis of Decoders for Rakeness-Based Compressed Sensing of ECG Signals.
IEEE Trans. Biomed. Circuits Syst., 2017

Efficient Sample Delay Calculation for 2-D and 3-D Ultrasound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2017

Zeroing for HW-efficient compressed sensing architectures targeting data compression in wireless sensor networks.
Microprocess. Microsystems, 2017

Increasing the energy efficiency of microcontroller platforms with low-design margin co-processors.
Microprocess. Microsystems, 2017

Empirical decision model learning.
Artif. Intell., 2017

Modeling and Evaluation of Application-Aware Dynamic Thermal Control in HPC Nodes.
Proceedings of the VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things, 2017

Prediction horizon vs. efficiency of optimal dynamic thermal control policies in HPC nodes.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

The ANTAREX tool flow for monitoring and autotuning energy efficient HPC systems.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Energy Saving and Thermal Management Opportunities in a Workload-Aware MPI Runtime for a Scientific HPC Computing Node.
Proceedings of the Parallel Computing is Everywhere, 2017

Simulation of Large Grids in OpenModelica: reflections and perspectives.
Proceedings of the 12th International Modelica Conference, Prague, Czech Republic, 2017

Design of an Energy Aware Petaflops Class High Performance Cluster Based on Power Architecture.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

Continuous learning of HPC infrastructure models using big data analytics and in-memory processing tools.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Benefits in Relaxing the Power Capping Constraint.
Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy efficient HPC Systems, 2017

2016
Ekho: A 30.3W, 10k-Channel Fully Digital Integrated 3-D Beamformer for Medical Ultrasound Imaging Achieving 298M Focal Points per Second.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Constraint Programming Scheduler for Heterogeneous High-Performance Computing Machines.
IEEE Trans. Parallel Distributed Syst., 2016

Integrated Energy-Aware Management of Supercomputer Hybrid Cooling Systems.
IEEE Trans. Ind. Informatics, 2016

Thermal Analysis and Interpolation Techniques for a Logic + WideIO Stacked DRAM Test Chip.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Predictive Modeling for Job Power Consumption in HPC Systems.
Proceedings of the High Performance Computing - 31st International Conference, 2016

Evaluation of synchronization protocols for fine-grain HPC sensor data time-stamping and collection.
Proceedings of the International Conference on High Performance Computing & Simulation, 2016

User-space APIs for dynamic power management in many-core ARMv8 computing nodes.
Proceedings of the International Conference on High Performance Computing & Simulation, 2016

Cooling-aware node-level task allocation for next-generation green HPC systems.
Proceedings of the International Conference on High Performance Computing & Simulation, 2016

Thermal model identification of supercomputing nodes in production environment.
Proceedings of the IECON 2016, 2016

Object-oriented modelling and simulation of large-scale electrical power systems using Modelica: A first feasibility study.
Proceedings of the IECON 2016, 2016

VarDroid: Online Variability Emulation in Android/Linux Platforms.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

DARDIS: Distributed And Randomized DIspatching and Scheduling.
Proceedings of the ECAI 2016 - 22nd European Conference on Artificial Intelligence, 29 August-2 September 2016, The Hague, The Netherlands, 2016

Autotuning and adaptivity approach for energy efficient Exascale HPC systems: The ANTAREX approach.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Towards near-threshold server processors.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Quantifying the benefits of compressed sensing on a WBSN-based real-time biosignal monitor.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

The ANTAREX approach to autotuning and adaptivity for energy efficient HPC systems.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

PHIDIAS: ultra-low-power holistic design for smart bio-signals computing platforms.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

Application of compressed sensing to ECG signals: Decoder-side benefits of the rakeness approach.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
Guaranteed Computational Resprinting via Model-Predictive Control.
ACM Trans. Embed. Comput. Syst., 2015

Temperature variation aware multi-scale delay, power and thermal analysis at RT and gate level.
Integr., 2015

Long-Term ECG monitoring with zeroing Compressed Sensing approach.
Proceedings of the Nordic Circuits and Systems Conference, 2015

Energy-Aware Bio-signal Compressed Sensing Reconstruction: FOCUSS on the WBSN-Gateway.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

MS3: A Mediterranean-stile job scheduler for supercomputers - do less when it's too hot!
Proceedings of the 2015 International Conference on High Performance Computing & Simulation, 2015

Tackling the bottleneck of delay tables in 3D ultrasound imaging.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Reducing energy consumption in microcontroller-based platforms with low design margin co-processors.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Energy-aware cooling for hot-water cooled supercomputers.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

An ultra-low power dual-mode ECG monitor for healthcare and wellness.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

ANTAREX - AutoTuning and Adaptivity appRoach for Energy Efficient eXascale HPC Systems.
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015

A CP Scheduler for High-Performance Computers.
Proceedings of the Doctoral Consortium (DC) co-located with the 14th Conference of the Italian Association for Artificial Intelligence (AI*IA 2015), 2015

2014
Bias-Compensated Least Squares Identification of Distributed Thermal Models for Many-Core Systems-on-Chip.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

An Effective Gray-Box Identification Procedure for Multicore Thermal Modeling.
IEEE Trans. Computers, 2014

An ultra-low power resilient multi-core architecture with static and dynamic tolerance to ambient temperature-induced variability.
Microprocess. Microsystems, 2014

Message Passing-Aware Power Management on Many-Core Systems.
J. Low Power Electron., 2014

Quantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Dynamic variability management in mobile multicore processors under lifetime constraints.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Optimum: Thermal-aware task allocation for heterogeneous many-core devices.
Proceedings of the International Conference on High Performance Computing & Simulation, 2014

Efficient parallel beamforming for 3D ultrasound imaging.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

An On-line Reliability Emulation Framework.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

A Linux-governor based Dynamic Reliability Manager for android mobile devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Thermal analysis and model identification techniques for a logic + WIDEIO stacked DRAM test chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Unveiling Eurora - Thermal and power characterization of the most energy-efficient supercomputer in the world.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Rakeness-based compressed sensing on ultra-low power multi-core biomedicai processors.
Proceedings of the 2014 Conference on Design and Architectures for Signal and Image Processing, 2014

Proactive Workload Dispatching on the EURORA Supercomputer.
Proceedings of the Principles and Practice of Constraint Programming, 2014

Assessing the area/power/performance tradeoffs for an integrated fully-digital, large-scale 3D-ultrasound beamformer.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2013
Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller.
IEEE Trans. Parallel Distributed Syst., 2013

A variation tolerant architecture for ultra low power multi-processor cluster.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

On-line thermal emulation: How to speed-up your thermal controller design.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

Errors-in-variables identification of thermal models for many-core computing systems.
Proceedings of the 12th European Control Conference, 2013

An Ambient Temperature Variation Tolerance Scheme for an Ultra Low Power Shared-L1 Processor Cluster.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

SCC thermal model identification via advanced bias-compensated least-squares.
Proceedings of the Design, Automation and Test in Europe, 2013

Workload and user experience-aware dynamic reliability management in multicore processors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Identification of many-core systems-on-chip with input and output noises.
Proceedings of the 52nd IEEE Conference on Decision and Control, 2013

Object-oriented modelling for control synthesis and commissioning in power plants: A case study on flue gas path control.
Proceedings of the American Control Conference, 2013

2012
Quantifying the impact of frequency scaling on the energy efficiency of the single-chip cloud computer.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Don't burn your mobile!: safe computational re-sprinting via model predictive control.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

Thermal models characterization for reliable temperature capping and performance optimization in Multiprocessor Systems on Chip.
Proceedings of the American Control Conference, 2012

Optimization and Controlled Systems: A Case Study on Thermal Aware Workload Dispatching.
Proceedings of the Twenty-Sixth AAAI Conference on Artificial Intelligence, 2012

Object-oriented simulation for primary reserve scheduling in a combined cycle power plant.
Proceedings of the IEEE International Conference on Control Applications, 2012

2011
A System Level Approach to Multi-core Thermal Sensors Calibration.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

SCC Thermal Sensor Characterization and Calibration.
Proceedings of the 3rd Many-core Applications Research Community (MARC) Symposium. Proceedings of the 3rd MARC Symposium, 2011

Static Thermal Model Learning for High-Performance Multicore Servers.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores.
Proceedings of the Design, Automation and Test in Europe, 2011

Neuron Constraints to Model Complex Real-World Problems.
Proceedings of the Principles and Practice of Constraint Programming - CP 2011, 2011

2010
A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2009
<i>HVS-DBS</i>: human visual system-aware dynamic luminance backlight scaling for video streaming applications.
Proceedings of the 9th ACM & IEEE International conference on Embedded software, 2009

Visual quality analysis for dynamic backlight scaling in LCD systems.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
DBS4video: dynamic luminance backlight scaling based on multi-histogram frame characterization for video streaming application.
Proceedings of the 8th ACM & IEEE International conference on Embedded software, 2008

Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008

1998
A Process Simulation Environment Based on Visual Programming and Dynamic Decoupling.
Simul., 1998


  Loading...