James Myers

Orcid: 0000-0002-3866-1969

According to our database1, James Myers authored at least 39 papers between 1995 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Towards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs).
Proceedings of the IEEE International Reliability Physics Symposium, 2023

MNEMOSENE++: Scalable Multi-Tile Design with Enhanced Buffering and VGSOT-MRAM based Compute-in-Memory Crossbar Array.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

2021
A Supply Voltage Control Method for Performance Guaranteed Ultra-Low-Power Microcontroller.
IEEE J. Solid State Circuits, 2021

2020
27.2 M0N0: A Performance-Regulated 0.8-to-38MHz DVFS ARM Cortex-M33 SIMD MCU with 10nW Sleep Power.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
A Sub-nW/kHz Relaxation Oscillator With Ratioed Reference and Sub-Clock Power Gated Comparator.
IEEE J. Solid State Circuits, 2019

Ultra-Low Power 18-Transistor Fully Static Contention-Free Single-Phase Clocked Flip-Flop in 65-nm CMOS.
IEEE J. Solid State Circuits, 2019

Simple, Standards-based Archiving in Dataverse.
Proceedings of Workshop on Research Objects (RO2019), 2019

A 65nm switched source line sub-threshold ROM using data encoding, with 0.3V Vmin and 47fJ/b access energy.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

A 0.98-nW/kHz 33-kHz Fully Integrated Subthreshold-Region Operation RC Oscillator With Forward-Body-Biasing.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
Communicative Efficiency in Child Mandarin.
Proceedings of the 32nd Pacific Asia Conference on Language, Information and Computation, 2018

F1: Intelligent energy-efficient systems at the edge of IoT.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A bulk 65nm Cortex-M0+ SoC with All-Digital Forward Body Bias for 4.3X Subthreshold Speedup.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
Integrated Reciprocal Conversion With Selective Direct Operation for Energy Harvesting Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Embedded Memory and ARM Cortex-M0 Core Using 60-nm C-Axis Aligned Crystalline Indium-Gallium-Zinc Oxide FET Integrated With 65-nm Si CMOS.
IEEE J. Solid State Circuits, 2017

A 50nW Voltage Monitor Scheme for Minimum Energy Sensor Systems.
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017

Evaluation and analysis of single-phase clock flip-flops for NTV applications.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Unconventional Layout Techniques for a High Performance, Low Variability Subthreshold Standard Cell Library.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

5.6 A 0.68nW/kHz supply-independent Relaxation Oscillator with ±0.49%/V and 96ppm/°C stability.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Session 3 overview: Digital processors.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator.
IEEE J. Solid State Circuits, 2016

Embedded memory and ARM Cortex-M0 core using 60-nm C-axis aligned crystalline indium-gallium-zinc oxide FET integrated with 65-nm Si CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Design challenges for near and sub-threshold operation: A case study with an ARM Cortex-M0+ based WSN subsystem.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

2015
Photovoltaic Cells for Micro-Scale Wireless Sensor Nodes: Measurement and Modeling to Assist System Design.
Proceedings of the 3rd International Workshop on Energy Harvesting & Energy Neutral Sensing Systems, 2015

8.1 An 80nW retention 11.7pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
Active Mode Subclock Power Gating.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Embedded SRAM and Cortex-M0 Core Using a 60-nm Crystalline Oxide Semiconductor.
IEEE Micro, 2014

Clock-modulation based watermark for protection of embedded processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Embedded SRAM and Cortex-M0 core with backup circuits using a 60-nm crystalline oxide semiconductor for power gating.
Proceedings of the 2014 IEEE Symposium on Low-Power and High-Speed Chips, 2014

2013
Panel discussions the next step in processor evolution.
Proceedings of the 2013 IEEE Symposium on Low-Power and High-Speed Chips, 2013

Keynote & invited speaker's biography [7 biographies].
Proceedings of the 2013 IEEE Symposium on Low-Power and High-Speed Chips, 2013

2012
Development and Testing of Transcription Software for a Southern Min Spoken Corpus.
Int. J. Comput. Linguistics Chin. Lang. Process., 2012

dRail: A Novel Physical Layout Methodology for Power Gated Circuits.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Grammatical Approaches to Written and Graphical Communication.
Proceedings of the 34th Annual Meeting of the Cognitive Science Society, 2012

Cognitive Styles in Two Cognitive Sciences.
Proceedings of the 34th Annual Meeting of the Cognitive Science Society, 2012

2009
Syntactic Judgment Experiments.
Lang. Linguistics Compass, 2009

Lexical frequency effects in Taiwan Southern Min syllable contraction.
J. Phonetics, 2009

2007
MiniJudge: Software for Small-Scale Experimental Syntax.
Int. J. Comput. Linguistics Chin. Lang. Process., 2007

2006
MiniJudge: Software for minimalist experimental syntax.
Proceedings of the 18th Conference on Computational Linguistics and Speech Processing, 2006

1995
Working group report on environments [program environments].
Proceedings of the 4th Workshop on Enabling Technologies, 1995


  Loading...