Sreejit Chakravarty

According to our database1, Sreejit Chakravarty authored at least 125 papers between 1986 and 2023.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2003, "For contributions to high volume manufacturing testing of VLSI circuits.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
IP Session on Chiplet: Design, Assembly, and Test.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Silent Data Errors: Sources, Detection, and Modeling.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Effective and Efficient Testing of Large Numbers of Inter-Die Interconnects in Chiplet-Based Multi-Die Packages.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Effective and Efficient Test and Diagnosis Pattern Generation for Many Inter-Die Interconnects in Chiplet-Based Packages.
Proceedings of the IEEE International 3D Systems Integration Conference, 2023

2022
Special Session: A Call to Standardize Chip-let Interconnect Testing.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022

2020
Testing of Prebond Through Silicon Vias.
IEEE Des. Test, 2020

Internal I/O Testing: Definition and a Solution.
Proceedings of the 38th IEEE VLSI Test Symposium, 2020

2019
A Comparative Study of Pre-bond TSV Test Methodologies.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019

2018
Innovative practices on functional testing and fault simulation for FuSa.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Innovative practices on memory test practice.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

A PVT-Resilient No-Touch DFT Methodology for Prebond TSV Testing.
Proceedings of the IEEE International Test Conference, 2018

2017
Innovative practices session 6C DFT for functional safety.
Proceedings of the 35th IEEE VLSI Test Symposium, 2017

2016
Microarray medical data classification using kernel ridge regression and modified cat swarm optimization based gene selection system.
Swarm Evol. Comput., 2016

Evolutionary extreme learning machine for energy price forecasting.
Int. J. Knowl. Based Intell. Eng. Syst., 2016

A Hybrid Kernel Extreme Learning Machine and Improved Cat Swarm Optimization for Microarray Medical Data Classification.
Int. J. Appl. Evol. Comput., 2016

2015
An improved cuckoo search based extreme learning machine for medical data classification.
Swarm Evol. Comput., 2015

2014
Silicon Evaluation of Cell-Aware ATPG Tests and Small Delay Tests.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Power-safe application of tdf patterns to flip-chip designs during wafer test.
ACM Trans. Design Autom. Electr. Syst., 2013

Innovative practices session 2C: Memory test.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

2012
Ensuring Power-Safe Application of Test Patterns Using an Effective Gating Approach Considering Current Limits.
J. Low Power Electron., 2012

Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application of Transition Delay Fault Patterns.
J. Low Power Electron., 2012

Evolutionary functional link interval type-2 fuzzy neural system for exchange rate prediction.
Int. J. Data Min. Model. Manag., 2012

A PSO based integrated functional link net and interval type-2 fuzzy logic system for predicting stock market indices.
Appl. Soft Comput., 2012

A novel method for fast identification of peak current during test.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

Silicon evaluation of faster than at-speed transition delay tests.
Proceedings of the 30th IEEE VLSI Test Symposium, 2012

2011
An Evolutionary Functional Link Neural Fuzzy Model for Financial Time Series Forecasting.
Int. J. Appl. Evol. Comput., 2011

Dynamic filter weights neural network model integrated with differential evolution for day-ahead price forecasting in energy market.
Expert Syst. Appl., 2011

Power-safe test application using an effective gating approach considering current limits.
Proceedings of the 29th IEEE VLSI Test Symposium, 2011

Optimal manufacturing flow to determine minumum operating voltage.
Proceedings of the 2011 IEEE International Test Conference, 2011

A Process Monitor Based Speed Binning and Die Matching Algorithm.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Impact of multiple input switching on delay test under process variation.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Special session 11C: Hot topic design consideration and silicon evaluation of on-chip monitors.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Testing of latch based embedded arrays using scan tests.
Proceedings of the 2011 IEEE International Test Conference, 2010

Power-Safe Application of Transition Delay Fault Patterns Considering Current Limit during Wafer Test.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

Modified Scan Flip-Flop for Low Power Testing.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Fast Enhancement of Validation Test Sets for Improving the Stuck-at Fault Coverage of RTL Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Forecasting Stock Market Indices using Hybrid Network.
Proceedings of the World Congress on Nature & Biologically Inspired Computing, 2009

Improving the Detectability of Resistive Open Faults in Scan Cells.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

Detectability of internal bridging faults in scan chains.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Path selection for monitoring unexpected systematic timing effects.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
A Methodology for Handling Complex Functional Constraints for Large Industrial Designs.
J. Electron. Test., 2008

On the Detectability of Scan Chain Internal Faults - An Industrial Case Study.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

An Industrial Case Study of Sticky Path-Delay Faults.
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008

Detection of Internal Stuck-open Faults in Scan Chains.
Proceedings of the 2008 IEEE International Test Conference, 2008

An Enhanced Logic BIST Architecture for Online Testing.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008

Refining Delay Test Methodology Using Knowledge of Asymmetric Transition Delay.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2006
Exact Delay Fault Coverage in Sequential Logic Under Any Delay Fault Model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Silicon Evaluation of Logic Proximity Bridge Patterns.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Path Delay Fault Simulation on Large Industrial Designs.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

A Study of Implication Based Pseudo Functional Testing.
Proceedings of the 2006 IEEE International Test Conference, 2006

Exact At-speed Delay Fault Grading in Sequential Circuits.
Proceedings of the 2006 IEEE International Test Conference, 2006

An Approach to Minimizing Functional Constraints.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006

2005
Efficient techniques for transition testing.
ACM Trans. Design Autom. Electr. Syst., 2005

Transition Tests for High Performance Microprocessors.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Experimental Evaluation of Bridge Patterns for a High Performance Microprocessor.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

Logic proximity bridges.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Implicit and Exact Path Delay Fault Grading in Sequential Circuits.
Proceedings of the 2005 Design, 2005

Untestable Multi-Cycle Path Delay Faults in Industrial Designs.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

Improving Logic Test Quality of Microprocessors.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Extraction of two-node bridges from large industrial circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Identifying Untestable Transition Faults in Latch Based Designs with Multiple Clocks.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Defect Coverage Analysis of Partitioned Testing.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

2003
Algorithm to extract two-node bridges.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Efficient Transition Fault ATPG Algorithms Based on Stuck-At Test Vectors.
J. Electron. Test., 2003

Efficient Implication - Based Untestable Bridge Fault Identifier.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003

2002
Layout Analysis to Extract Open Nets Caused by Systematic Failure Mechanisms.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Fault Models for Speed Failures Caused by Bridges and Opens.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Techniques to Reduce Data Volume and Application Time for Transition Test.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Experimental Evaluation of Scan Tests for Bridges.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Supplemental Test Methods (Tutorial Abstract).
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Novel ATPG algorithms for transition faults.
Proceedings of the 7th European Test Workshop, 2002

2001
Automatic generation and compaction of March tests for memory arrays.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Diagnostic simulation of stuck-at faults in sequential circuits using compact lists.
ACM Trans. Design Autom. Electr. Syst., 2001

A Novel Algorithm for Multi-Node Bridge Analysis of Large VLSI Circuits.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

2000
STBM: a fast algorithm to simulate I<sub>DDQ</sub> tests forleakage faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

A scalable and efficient methodology to extract two node bridges from large industrial circuits.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

An analysis of the delay defect detection capability of the ECR test method.
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000

A novel algorithm to extract two-node bridges.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Techniques to Encode and Compress Fault Dictionaries.
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999

A Comparative Study of Pseudo Stuck-At and Leakage Fault Model.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

On Detecting Bridges Causing Timing Failures.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
Locating bridging faults using dynamically computed stuck-at fault dictionaries.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Techniques for minimizing power dissipation in scan and combinational circuits during test application.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Computing Stress Tests for Gate Oxide Shorts.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

A new framework for generating optimal March tests for memory arrays.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

1997
Algorithms to compute bridging fault coverage of <i>I<sub>DDQ</sub></i> test sets.
ACM Trans. Design Autom. Electr. Syst., 1997

Using fault sampling to compute I<sub>DDQ</sub> diagnostic test set.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997

Computing stress tests for interconnect defects.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

On the capability of delay tests to detect bridges and opens.
Proceedings of the 6th Asian Test Symposium (ATS '97), 17-18 November 1997, 1997

1996
Simulation and Generation of IDDQ Tests for Bridging Faults in Combinational Circuits.
IEEE Trans. Computers, 1996

A Study of Theoretical Issues in the Synthesis of Delay Fault Testability Circuits.
IEEE Trans. Computers, 1996

Algorithms to select <i>I</i><sub>DDQ</sub> measurement points to detect bridging faults.
J. Electron. Test., 1996

Diagnostic simulation of stuck-at faults in combinational circuits.
J. Electron. Test., 1996

A sampling technique for diagnostic fault simulation.
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996

Fast Algorithms for Computer IDDQ Tests for Combination Circuits.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

1995
Conference Reports.
IEEE Des. Test Comput., 1995

Cyclic stress tests for full scan circuits.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Voting model based diagnosis of bridging faults in combinational circuits.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

On adaptive diagnostic test generation.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Fault Simulation of<i>I<sub>DDQ</sub></i> Tests for Bridging Faults in Sequential Circuits.
Proceedings of the Digest of Papers: FTCS-25, 1995

Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists.
Proceedings of the 32st Conference on Design Automation, 1995

1994
Diagnostic simulation of stuck-at faults in combinational circuits.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

<i>I<sub>DDQ</sub></i> Measurement Based Diagnosis of Bridging Faults in Full Scan Circuits.
Proceedings of the Seventh International Conference on VLSI Design, 1994

A Study of I<sub>DDQ</sub> Subset Selection Algorithms for Bridging Faults.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

1993
A Characterization of Binary Decision Diagrams.
IEEE Trans. Computers, 1993

Simulation and generation of I<sub>DDQ</sub> tests for bridging faults in combinational circuits.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

An Algorithm for Diagnosing Two-Line Bridging Faults in Combinational Circuits.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
Parallel and serial heuristics for the minimum set cover problem.
J. Supercomput., 1992

Algorithms for IDDQ measurement based diagnosis of bridging faults.
J. Electron. Test., 1992

On Computing Tests for Bridging and Leakage Faults: Complexity Results and Universal Test Sets.
Proceedings of the Fifth International Conference on VLSI Design, 1992

Algorithms for Current Monitor Based Diagnosis of Bridging and Leakage Faults.
Proceedings of the 29th Design Automation Conference, 1992

1991
Minimum area layout of series-parallel transistor networks is NP-hard.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

A characterization of robust test-pairs for stuck-open faults.
J. Electron. Test., 1991

1990
Computing optimal test sequences from complete test sets for stuck-open faults in CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

On Computing Signal Probability and Detection Probability of Stuck-at Faults.
IEEE Trans. Computers, 1990

Testing of non-feedback bridging faults.
Integr., 1990

Heuristics for the MSC Problem for Serial and Shared-Memory Computers.
Proceedings of the 1990 International Conference on Parallel Processing, 1990

On Synthesizing and Identifying Stuck-Open Testable CMOS Combinational Circuits (extended abstract).
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
On the complexity of computing tests for CMOS gates.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

The Complexity of Generating Minimum Test Sets for PLA's and Monotone Combinational Circuits.
IEEE Trans. Computers, 1989

A Note on Detecting Sneak Paths in Transistor Networks.
IEEE Trans. Computers, 1989

A Testable Realization of CMOS Combinational Circuits.
Proceedings of the Proceedings International Test Conference 1989, 1989

1988
A Unified Approach to Designing Fault-Tolerant Processor Ensembles.
Proceedings of the International Conference on Parallel Processing, 1988

1986
On the Computation of Detection Probability for Multiple Faults.
Proceedings of the Proceedings International Test Conference 1986, 1986


  Loading...