Stephen V. Kosonocky

Orcid: 0009-0007-1656-8070

According to our database1, Stephen V. Kosonocky authored at least 41 papers between 1995 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Predict; Don't React for Enabling Efficient Fine-Grain DVFS in GPUs.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Predict; Do not React for Enabling Efficient Fine Grain DVFS in GPUs.
CoRR, 2022

2021
A New Era of Tailored Computing.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

2018
Zen: An Energy-Efficient High-Performance × 86 Core.
IEEE J. Solid State Circuits, 2018

2017
Bristol Ridge: A 28-nm × 86 Performance-Enhanced Microprocessor Through System Power Management.
IEEE J. Solid State Circuits, 2017

3.2 Zen: A next-generation high-performance ×86 core.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
Adaptive Voltage Frequency Scaling Using Critical Path Accumulator Implemented in 28nm CPU.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

4.2 Increasing the performance of a 28nm x86-64 microprocessor through system power management.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Modeling and implementation of a fully-digital integrated per-core voltage regulation system in a 28nm high performance 64-bit processor.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

2015
Steamroller Module and Adaptive Clocking System in 28 nm CMOS.
IEEE J. Solid State Circuits, 2015

Highlights of the IEEE ISSCC 2014 Processors, Digital, Memory, Biomedical & Next-Generation Systems Technologies, and Imagers, MEMS, Medical & Displays Sessions.
IEEE J. Solid State Circuits, 2015

2014
5.5 Steamroller: An x86-64 core implemented in 28nm bulk CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2012
Power/performance optimization of many-core processor SoCs.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A programmable resistive power grid for post-fabrication flexibility and energy tradeoffs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

2011
An x86-64 Core in 32 nm SOI CMOS.
IEEE J. Solid State Circuits, 2011

Practical power gating and dynamic voltage/frequency scaling.
Proceedings of the 2011 IEEE Hot Chips 23 Symposium (HCS), 2011

2010
Are you having fun yet?
IEEE Des. Test Comput., 2010

An x86-64 core implemented in 32nm SOI CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

2008
A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing.
IEEE J. Solid State Circuits, 2008

Keeping hot chips cool: are IC thermal problems hot air?
Proceedings of the 45th Design Automation Conference, 2008

2007
A Multi-Mode Power Gating Structure for Low-Voltage Deep-Submicron CMOS ICs.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Introduction to the Special Issue on the 2006 Symposium on VLSI Circuits.
IEEE J. Solid State Circuits, 2007

Multi-Dimensional Circuit and Micro-Architecture Level Optimization.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Structured and tuned array generation (STAG) for high-performance random logic.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Early Power-Aware Design & Validation: Myth or Reality?
Proceedings of the 44th Design Automation Conference, 2007

2005
Characterization of logic circuit techniques and optimization for high-leakage CMOS technologies.
Integr., 2005

2004
A synchronous interface for SoCs with multiple clock domains.
Proceedings of the Proceedings 2004 IEEE International SOC Conference, 2004

Experimental measurement of a novel power gating structure with intermediate power saving mode.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Characterization of logic circuit techniques for high leakage CMOS technologies.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Application of an SOI 0.12-µm CMOS technology to SoCs with low-power and high-frequency circuits.
IBM J. Res. Dev., 2003

Low-power circuits and technology for wireless digital systems.
IBM J. Res. Dev., 2003

Understanding and minimizing ground bounce during mode transition of power gating structures.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

Minimizing inductive noise in system-on-a-chip with multiple power gating structures.
Proceedings of the ESSCIRC 2003, 2003

2002
Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Low power integrated scan-retention mechanism.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

2001
Enchanced multi-threshold (MTCMOS) circuits using variable well bias.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Mixed multi-threshold differential cascode voltage switch (MT-DCVS) circuit styles and strategies for low power VLSI design.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Interconnect-centric Array Architectures for Minimum SRAM Access Time.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

1998

An eight-issue tree-VLIW processor for dynamic binary translation.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

1995
A continuous density neural tree network word spotting system.
Proceedings of the 1995 International Conference on Acoustics, 1995


  Loading...