Arun Natarajan

Orcid: 0000-0002-1613-7007

Affiliations:
  • Oregon State University, School of Electrical Engineering and Computer Science, Corvallis, USA


According to our database1, Arun Natarajan authored at least 61 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
12.3 A Scalable and Instantaneously Wideband 5GS/s RF Correlator Based on Charge Thresholding Achieving 8-bit ENOB and 152 TOPS/W Compute Efficiency.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A Highly Integrated Distributed Mixer Receiver for Low-Power Wireless Radios.
IEEE J. Solid State Circuits, December, 2023

ADC-Less 3D-NAND Compute-in-Memory Architecture Using Margin Propagation.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A 0.4-to-0.95GHz Distributed N-Path Noise-Cancelling Ultra-Low-Power RX with Integrated Passives Achieving -85dBm/100kb/s Sensitivity, -41dB SIR and 174dB RX FoM in 22nm CMOS.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

2022
A Passive Wideband Noise-Canceling Mixer-First Architecture With Shared Antenna Interface for Interferer-Tolerant Wake-Up Receivers and Low-Noise Primary Receivers.
IEEE J. Solid State Circuits, 2022

Wideband, Millimeter Wave Domain SI Canceling (>50dB) In-Band Full-Duplex Circulator Receiver.
IEEE Access, 2022

Excellent RF Product HTOL reliability of 5G mmWave beamformer chip fabricated using GF 45RFSOI technologies.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

2021
A 28-GHz Beam-Space MIMO RX With Spatial Filtering and Frequency-Division Multiplexing-Based Single-Wire IF Interface.
IEEE J. Solid State Circuits, 2021

Frequency-Domain-Multiplexing Single-Wire Interface and Harmonic-Rejection-Based IF Data De-Multiplexing in Millimeter-Wave MIMO Arrays.
IEEE J. Solid State Circuits, 2021

6.6 Full-Duplex Receiver with Wideband Multi-Domain FIR Cancellation Based on Stacked-Capacitor, N-Path Switched-Capacitor Delay Lines Achieving >54dB SIC Across 80MHz BW and >15dBm TX Power-Handling.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

21.4 A 0.75-to-1GHz Passive Wideband Noise-Cancelling 171µW Wake-Up RX and 440µW Primary RX FE with -86dBm/10kb/s Sensitivity, 35dB SIR and 3.8dB RX NF.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 20 μ W, 0.05mm<sup>2</sup> Duty-Cycled Resistor and Frequency-Locked-Loop-based Wheatstone Bridge Interface for Low Resistance Sensing Systems.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
Code-Domain Multiplexing for Shared IF/LO Interfaces in Millimeter-Wave MIMO Arrays.
IEEE J. Solid State Circuits, 2020

4.3 A 28GHz 4-Element MIMO Beam-Space Array in 65nm CMOS with Simultaneous Spatial Filtering and Single-Wire Frequency-Domain Multiplexing.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

WideScan: Exploiting Out-of-Band Distortion for Device Classification Using Deep Learning.
Proceedings of the IEEE Global Communications Conference, 2020

A 6-Transistor Ultra-Low Power CMOS Voltage Reference with 0.02%/V Line Sensitivity.
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020

2019
Line Coding Techniques for Channel Equalization: Integrated Pulse-Width Modulation and Consecutive Digit Chopping.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Analysis and Design of a Full-Duplex Two-Element MIMO Circulator-Receiver With High TX Power Handling Exploiting MIMO RF and Shared-Delay Baseband Self-Interference Cancellation.
IEEE J. Solid State Circuits, 2019

Full-Duplex 2×2 MIMO Circulator-Receiver with High TX Power Handling Exploiting MIMO RF and Shared-Delay Baseband Self-Interference Cancellation.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

2018
Introduction to the Special Issue on the 2018 IEEE International Solid-State Circuits Conference (ISSCC).
IEEE J. Solid State Circuits, 2018

A cm-Scale 2.4-GHz Wireless Energy Harvester With NanoWatt Boost Converter and Antenna-Rectifier Resonance for WiFi Powering of Sensor Nodes.
IEEE J. Solid State Circuits, 2018

An Interferer-Tolerant CMOS Code-Domain Receiver Based on N-Path Filters.
IEEE J. Solid State Circuits, 2018

WiFO: A hybrid communication network based on integrated free-space optical and WiFi femtocells.
Comput. Commun., 2018

A 960pW Co-Integrated-Antenna Wireless Energy Harvester for WiFi Backchannel Wireless Powering.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 0.55-to-0.9GHz 2.7dB NF full-duplex hybrid-coupler circulator with 56MHz 40dB TX SI suppression.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Education session 4 - Low Power IoT wireless powering for ultra low power batteryless IoT sensing and communication.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
Editorial.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Measurement-based channel modeling for mmWave wireless links in enclosed server platforms.
Proceedings of the 2017 IEEE Radio and Wireless Symposium, 2017

WiFO: A Hybrid WiFi Free-Space Optical Communication Networks of Femtocells.
Proceedings of the 20th ACM International Conference on Modelling, 2017

29.4 A 16Gb/s 3.6pJ/b wireline transceiver with phase domain equalization scheme: Integrated pulse width modulation (iPWM) in 65nm CMOS.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
Scalable Spatial Notch Suppression in Spatio-Spectral-Filtering MIMO Receiver Arrays for Digital Beamforming.
IEEE J. Solid State Circuits, 2016

Area-constrained wirelessly-powered UWB SoC design for small insect localization.
Proceedings of the IEEE Topical Conference on Wireless Sensors and Sensor Networks, 2016

A 74.6GHz-83.6GHz digitally controlled oscillator with 370kHz frequency resolution in 65nm CMOS.
Proceedings of the 2016 IEEE Radio and Wireless Symposium, 2016

9.2 A scalable 0.1-to-1.7GHz spatio-spectral-filtering 4-element MIMO receiver array with spatial notch suppression enabling digital beamforming.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

21.6 A 1.2cm2 2.4GHz self-oscillating rectifier-antenna achieving -34.5dBm sensitivity for wirelessly powered sensors.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2.2 A scalable 28GHz coupled-PLL in 65nm CMOS with single-wire synchronization for large-scale 5G mm-wave arrays.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
W-band scalable phased arrays for imaging and communications.
IEEE Commun. Mag., 2015

2014
Indirect Performance Sensing for On-Chip Self-Healing of Analog and RF Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A Low-Power, Low-Voltage WBAN-Compatible Sub-Sampling PSK Receiver in 65 nm CMOS.
IEEE J. Solid State Circuits, 2014

9.6 A 1.3mW 0.6V WBAN-compatible sub-sampling PSK receiver in 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
A linearized, low-phase-noise VCO-based 25-GHz PLL with autonomic biasing.
IEEE J. Solid State Circuits, 2013

An Integral Path Self-Calibration Scheme for a Dual-Loop PLL.
IEEE J. Solid State Circuits, 2013

2012
An integral path self-calibration scheme for a 20.1-26.7GHz dual-loop PLL in 32nm SOI CMOS.
Proceedings of the Symposium on VLSI Circuits, 2012


2011
A Fully-Integrated 16-Element Phased-Array Receiver in SiGe BiCMOS for 60-GHz Communications.
IEEE J. Solid State Circuits, 2011

Single-element and phased-array transceiver chipsets for 60-ghz Gb/s communications.
IEEE Commun. Mag., 2011

Indirect phase noise sensing for self-healing voltage controlled oscillators.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

2010
A Fully Integrated 16-Element Phased-Array Transmitter in SiGe BiCMOS for 60-GHz Communications.
IEEE J. Solid State Circuits, 2010

A SiGe BiCMOS 16-element phased-array transmitter for 60GHz communications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

2008
A Scalable 6-to-18 GHz Concurrent Dual-Band Quad-Beam Phased-Array Receiver in CMOS.
IEEE J. Solid State Circuits, 2008

A Scalable 6-to-18GHz Concurrent Dual-Band Quad-Beam Phased-Array Receiver in CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
A Bidirectional RF-Combining 60GHz Phased-Array Front-End.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

2006
A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Transmitter and Local LO-Path Phase Shifting.
IEEE J. Solid State Circuits, 2006

A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas.
IEEE J. Solid State Circuits, 2006

A 77GHz Phased-Array Transmitter with Local LO-Path Phase-Shifting in Silicon.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

2005
Integrated Phased Array Systems in Silicon.
Proc. IEEE, 2005

A fully integrated 24-GHz phased-array transmitter in CMOS.
IEEE J. Solid State Circuits, 2005

A 24-GHz, +14.5-dBm fully integrated power amplifier in 0.18-μm CMOS.
IEEE J. Solid State Circuits, 2005

A 24 GHz phased-array transmitter in 0.18µm CMOS.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Phased array systems in silicon.
IEEE Commun. Mag., 2004


  Loading...