Rainer Leupers

Orcid: 0000-0002-6735-3033

Affiliations:
  • RWTH Aachen University, Germany


According to our database1, Rainer Leupers authored at least 307 papers between 1993 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A Fully Automated Platform for Evaluating ReRAM Crossbars.
CoRR, 2024

QTFlow: Quantitative Timing-Sensitive Information Flow for Security-Aware Hardware Design on RTL.
CoRR, 2024

CLSA-CIM: A Cross-Layer Scheduling Approach for Computing-in-Memory Architectures.
CoRR, 2024

NQC²: A Non-Intrusive QEMU Code Coverage Plugin.
Proceedings of the 16th Workshop on Rapid Simulation and Performance Evaluation for Design, 2024

2023
CLARINET: A quire-enabled RISC-V-based framework for posit arithmetic empiricism.
J. Syst. Archit., February, 2023

SoftFlow: Automated HW-SW Confidentiality Verification for Embedded Processors.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Mapping of CNNs on multi-core RRAM-based CIM architectures.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

parti-gem5: gem5's Timing Mode Parallelised.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023

Automated Information Flow Analysis for Integrated Computing-in-Memory Modules.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Gate Camouflaging Using Reconfigurable ISFET-Based Threshold Voltage Defined Logic.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Frequency and noise characterization for baseband signal processing on neuromorphic circuits.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Exploring Multi-Valued Logic and its Application in Emerging Post-CMOS Technologies.
Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, 2023

Invited Paper: A Holistic Fault Injection Platform for Neuromorphic Hardware.
Proceedings of the 24th IEEE Latin American Test Symposium, 2023

Quantitative Information Flow for Hardware: Advancing the Attack Landscape.
Proceedings of the 14th IEEE Latin America Symposium on Circuits and System, 2023

"S3cure": Scramble, Shuffle and Shambles - Secure Deployment of Weight Matrices in Memristor Crossbar Arrays.
Proceedings of the 2023 International Conference on Neuromorphic Systems, 2023

Efficient RISC-V-on-x64 Floating Point Simulation.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

Entropy-Based Analysis of Benchmarks for Instruction Set Simulators.
Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, 2023

par-gem5: Parallelizing gem5's Atomic Mode.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023


Fault Injection in Native Logic-in-Memory Computation on Neuromorphic Hardware.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Work-in-Progress: A Universal Instrumentation Platform for Non-Volatile Memories.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

Work-in-Progress: A Generic Non-Intrusive Parallelization Approach for SystemC TlM-2.0-Based Virtual Platforms.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2023

2022
Deceptive Logic Locking for Hardware Integrity Protection Against Machine Learning Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

AMAIX In-Depth: A Generic Analytical Model for Deep Learning Accelerators.
Int. J. Parallel Program., 2022

A Survey of Neuromorphic Computing-in-Memory: Architectures, Simulators, and Security.
IEEE Des. Test, 2022

PA-PUF: A Novel Priority Arbiter PUF.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

NISTT: A Non-Intrusive SystemC-TLM 2.0 Tracing Tool.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Virtual Platform Acceleration through Userspace Host Execution.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022

Design and Exploration of an ARC-Coprocessor for LSTM Based Audio Applications.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, 2022

A Temperature Independent Readout Circuit for ISFET-Based Sensor Applications.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

A Parallel SystemC Virtual Platform for Neuromorphic Architectures.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

pHGen: A pH-Based Key Generation Mechanism Using ISFETs.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2022

EmuNoC: Hybrid Emulation for Fast and Flexible Network-on-Chip Prototyping on FPGAs.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

X-on-X: Distributed Parallel Virtual Platforms for Heterogeneous Systems.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

NeuroHammer: Inducing Bit-Flips in Memristive Crossbar Memories.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022


Designing ML-resilient locking at register-transfer level.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

X-Fault: Impact of Faults on Binary Neural Networks in Memristor-Crossbar Arrays with Logic-in-Memory Computation.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
ConvAix: An Application-Specific Instruction-Set Processor for the Efficient Acceleration of CNNs.
IEEE Open J. Circuits Syst., 2021

Challenging the Security of Logic Locking Schemes in the Era of Deep Learning: A Neuroevolutionary Approach.
ACM J. Emerg. Technol. Comput. Syst., 2021

ANDROMEDA: An FPGA Based RISC-V MPSoC Exploration Framework.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

An Investigation on Inherent Robustness of Posit Data Representation.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

Logic Locking at the Frontiers of Machine Learning: A Survey on Developments and Opportunities.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

Trustworthy Hardware Design with Logic Locking.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

NeuroVP: A System-Level Virtual Platform for Integration of Neuromorphic Accelerators.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

Software-defined Temporal Decoupling in Virtual Platforms.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

NEWROMAP: mapping CNNs to NoC-interconnected self-contained data-flow accelerators for edge-AI.
Proceedings of the NOCS '21: International Symposium on Networks-on-Chip, 2021

Brightening the Optical Flow through Posit Arithmetic.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Architecture, Dataflow and Physical Design Implications of 3D-ICs for DNN-Accelerators.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

QFlow: Quantitative Information Flow for Security-Aware Hardware Design in Verilog.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Runnable Configuration in Mixed Classic/Adaptive AUTOSAR Systems by Leveraging Nondeterminism.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021


Bridging the Frequency Gap in Heterogeneous 3D SoCs through Technology-Specific NoC Router Architectures.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Optimizing Temporal Decoupling using Event Relevance.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Reducing idle time in event-triggered software execution via runnable migration and DPM-Aware scheduling.
Integr., 2020

Dataflow Aware Mapping of Convolutional Neural Networks Onto Many-Core Platforms With Network-on-Chip Interconnect.
CoRR, 2020

CLARINET: A RISC-V Based Framework for Posit Arithmetic Empiricism.
CoRR, 2020

Accelerating Deep Learning Inference in Constrained Embedded Devices Using Hardware Loops and a Dot Product Unit.
IEEE Access, 2020

A secure hardware-software solution based on RISC-V, logic locking and microkernel.
Proceedings of the SCOPES '20: 23rd International Workshop on Software and Compilers for Embedded Systems, 2020

AMAIX: A Generic Analytical Model for Deep Learning Accelerators.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020

Modelling Machine Learning Components for Mapping and Scheduling of AUTOSAR Runnables.
Proceedings of the 31st IEEE International Symposium on Software Reliability Engineering, 2020

ARM-on-ARM: Leveraging Virtualization Extensions for Fast Virtual Platforms.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Next Generation Arithmetic for Edge Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Scaling Logic Locking Schemes to Multi-module Hardware Designs.
Proceedings of the Architecture of Computing Systems - ARCS 2020, 2020

3D Optimisation of Software Application Mappings on Heterogeneous MPSoCs.
Proceedings of the Architecture of Computing Systems - ARCS 2020, 2020

2019
Multi-objective optimisation of software application mappings on heterogeneous MPSoCs: TONPET versus R2-EMOA.
Integr., 2019

Automatic Energy-Minimized HW/SW Partitioning for FPGA-Accelerated MPSoCs.
IEEE Embed. Syst. Lett., 2019

Applying Modified Householder Transform to Kalman Filter.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

A Systematic Approach for Acceleration of Matrix-Vector Operations in CGRA through Algorithm-Architecture Co-Design.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

A Critical Evaluation of the Paradigm Shift in the Design of Logic Encryption Algorithms.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019

Protecting the Integrity of Processor Cores with Logic Encryption.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Fast SystemC Processor Models with Unicorn.
Proceedings of the Rapid Simulation and Performance Evaluation: Methods and Tools, 2019

An Application-Specific VLIW Processor with Vector Instruction Set for CNN Acceleration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

VLSI Architectures for ORVD Trellis based MIMO Detection.
Proceedings of the International Conference on Computing, Networking and Communications, 2019

Control-Lock: Securing Processor Cores Against Software-Controlled Hardware Trojans.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Inter-Lock: Logic Encryption for Processor Cores Beyond Module Boundaries.
Proceedings of the 24th IEEE European Test Symposium, 2019

A heuristic for multi objective software application mappings on heterogeneous MPSoCs.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Efficient sporadic task handling in parallel AUTOSAR applications using runnable migration.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Combined MPSoC Task Mapping and Memory Optimization for Low-Power.
Proceedings of the 2019 IEEE Asia Pacific Conference on Circuits and Systems, 2019

2018
Efficient Realization of Givens Rotation through Algorithm-Architecture Co-design for Acceleration of QR Factorization.
CoRR, 2018

Fully Virtual Rapid ADAS Prototyping via a Joined Multi-domain Co-simulation Ecosystem.
Proceedings of the 4th International Conference on Vehicle Technology and Intelligent Transport Systems, 2018

A Multi-domain Co-simulation Ecosystem for Fully Virtual Rapid ADAS Prototyping.
Proceedings of the Smart Cities, Green Technologies and Intelligent Transport Systems, 2018

A Unifying logic encryption security metric.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

ESL Black Box Power Estimation: Automatic Calibration for IEEE UPF 3.0 Power Models.
Proceedings of the RAPIDO 2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2018

HiPEAC compilation architecture.
Proceedings of the 7th Mediterranean Conference on Embedded Computing, 2018

VLSI implementation of channel estimation for millimeter wave beamforming training.
Proceedings of the 9th IEEE Latin American Symposium on Circuits & Systems, 2018

Multi-Scale Code Generation for Simulation-Driven Rapid ADAS Prototyping: the SMELT Approach.
Proceedings of the 2018 IEEE International Conference on Vehicular Electronics and Safety, 2018

Reducing the Computational Complexity of ORVD-Trellis Search Based MIMO Detection.
Proceedings of the 2018 International Conference on Computing, 2018

Parameterized Posit Arithmetic Hardware Generator.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

OHEX: OS-aware hybridization techniques for accelerating MPSoC full-system simulation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

AMVP - a high performance virtual platform using parallel systemC for multicore ARM architectures: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

Multi-Scale Multi-Domain Co-Simulation for Rapid ADAS Prototyping.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
MAPS: A Software Development Environment for Embedded Multicore Applications.
Proceedings of the Handbook of Hardware/Software Codesign., 2017

Towards Parallelism Extraction for Heterogeneous Multicore Android Devices.
Int. J. Parallel Program., 2017

DVFS-enabled power-performance trade-off in MPSoC SW application mapping.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Extraction of recursion level parallelism for embedded multicore systems.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Accelerating MPSoC Simulation Using Parallel SystemC and Processor Sleep Models.
Proceedings of the 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2017

Multi-level vehicle dynamics modeling and export for ADAS prototyping in a 3D driving environment.
Proceedings of the 20th IEEE International Conference on Intelligent Transportation Systems, 2017

VLSI implementation of LS-SVM training and classification using entropy based subset-selection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Using PEGs for Automatic Extraction of Memory Access Descriptions to Support Data-Parallel Pattern Recognition.
Proceedings of the 8th Workshop and 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, 2017

Schedule-aware loop parallelization for embedded MPSoCs by exploiting parallel slack.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Multi-grained performance estimation for MPSoC compilers: work-in-progress.
Proceedings of the 2017 International Conference on Compilers, 2017

2016
Efficiency Enablers of Lightweight SDR for MIMO Baseband Processing.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Parallel SystemC Simulation for ESL Design.
ACM Trans. Embed. Comput. Syst., 2016

Frequency-Aware ESL Power Estimation for ARM Cortex-A9 Using a Black Box Processor Model.
ACM Trans. Embed. Comput. Syst., 2016

MPSoC Software Debugging on Virtual Platforms via Execution Control with Event Graphs.
ACM Trans. Embed. Comput. Syst., 2016

A Scalable, Multimode SVD Precoding ASIC Based on the Cyclic Jacobi Method.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Dynamic many-process applications on many-tile embedded systems and HPC clusters: The EURETILE programming environment and execution platforms.
J. Syst. Archit., 2016

An optimal allocation of memory buffers for complex multicore platforms.
J. Syst. Archit., 2016

Black box ESL power estimation for loosely-timed TLM models.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Concurrent memory subsystem and application optimization for ASIP design.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Automatic recognition of computational kernels for platform-dependent code optimizations.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

ORVD-Trellis based MIMO detection.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

A flexible MCMC detector ASIC.
Proceedings of the International SoC Design Conference, 2016

Black box power estimation for digital signal processors using virtual platforms.
Proceedings of the 2016 Workshop on Rapid Simulation and Performance Evaluation, 2016

SystemC-link: Parallel SystemC simulation using time-decoupled segments.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Technology Transfer in computing systems: The TETRACOM approach.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Mantissa-masking for energy-efficient floating-point LTE uplink MIMO baseband processing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Automatic parallelization and accelerator offloading for embedded applications on heterogeneous MPSoCs.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Low-Cost Hardware Infrastructure for Runtime Thread Level Energy Accounting.
Proceedings of the Architecture of Computing Systems - ARCS 2016, 2016

2015
Flexible, Efficient Multimode MIMO Detection by Using Reconfigurable ASIP.
IEEE Trans. Very Large Scale Integr. Syst., 2015

CoEx: A Novel Profiling-Based Algorithm/Architecture Co-Exploration for ASIP Design.
ACM Trans. Reconfigurable Technol. Syst., 2015

Exploiting scalable CGRA mapping of LU for energy efficiency using the Layers architecture.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Enhanced GPU Resource Utilization through Fairness-aware Task Scheduling.
Proceedings of the 2015 IEEE TrustCom/BigDataSE/ISPA, 2015

Modeling Exclusive Memory Access for a Time-Decoupled Parallel SystemC Simulator.
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015

Application-Specific Architecture Exploration Based on Processor-Agnostic Performance Estimation.
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015

A Toolflow for Parallelization of Embedded Software in Multicore DSP Platforms.
Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, 2015

Parallel SystemC simulation for ESL design using flexible time decoupling.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

ESL power estimation using virtual platforms with black box processor models.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Deterministic event-based control of Virtual Platforms for MPSoC software debugging.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Parallelism extraction in embedded software for android devices.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015

Design and synthesis of reconfigurable control-flow structures for CGRA.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

A comparative investigation of device-specific mechanisms for exploiting HPC accelerators.
Proceedings of the 8th Workshop on General Purpose Processing using GPUs, 2015

Buffer Allocation Based On-Chip Memory Optimization for Many-Core Platforms.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

Direct FPGA-based power profiling for a RISC processor.
Proceedings of the 2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) Proceedings, 2015

Extraction of Kahn Process Networks from While Loops in Embedded Software.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Virtual hardware-in-the-loop co-simulation for multi-domain automotive systems via the functional mock-up interface.
Proceedings of the 2015 Forum on Specification and Design Languages, 2015

Multi/many-core programming: where are we standing?
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Parallel Density-Based Stream Clustering Using a Multi-user GPU Scheduler.
Proceedings of the Beyond Databases, Architectures and Structures, 2015

SWAT: Assertion-based debugging of concurrency issues at system level.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

Unified Identification of Multiple Forms of Parallelism in Embedded Applications.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

2014
legaSCi: Legacy SystemC Model Integration into Parallel Simulators.
ACM Trans. Embed. Comput. Syst., 2014

A compiler infrastructure for embedded heterogeneous MPSoCs.
Parallel Comput., 2014

A Parallel MCMC-Based MIMO Detector: VLSI Design and Algorithm.
Proceedings of the VLSI-SoC: Internet of Things Foundations, 2014

VLSI design of a parallel MCMC-based MIMO detector with multiplier-free Gibbs samplers.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Improving ESL power models using switching activity information from timed functional models.
Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, 2014

Pre-architectural performance estimation for ASIP design based on abstract processor models.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014

A Novel Class of Linear MIMO Detectors with Boosted Communications Performance: Algorithm and VLSI Architecture.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Energy-efficiency of floating-point and fixed-point SIMD cores for MIMO processing systems.
Proceedings of the 2014 International Symposium on System-on-Chip, 2014

EURETILE Design Flow: Dynamic and Fault Tolerant Mapping of Multiple Applications Onto Many-Tile Systems.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014

Efficient VLSI architectures for matrix inversion in soft-input soft-output MMSE MIMO detectors.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A heuristic for logical data buffer allocation in multicore platforms.
Proceedings of the IEEE 33rd International Performance Computing and Communications Conference, 2014

A novel reduced-complexity soft-input soft-output MMSE MIMO detector: Algorithm and efficient VLSI architecture.
Proceedings of the IEEE International Conference on Communications, 2014

VLSI implementation of linear MIMO detection with boosted communications performance: extended abstract.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Time-decoupled parallel SystemC simulation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Optimized buffer allocation in multicore platforms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Automatic detection of concurrency bugs through event ordering constraints.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Technology transfer towards Horizon 2020.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A flexible ASIP architecture for connected components labeling in embedded vision applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Software Compilation Techniques for MPSoCs.
Proceedings of the Handbook of Signal Processing Systems, 2013

MAPS: Mapping Concurrent Dataflow Applications to Heterogeneous MPSoCs.
IEEE Trans. Ind. Informatics, 2013

Efficient Implementation of Application-Aware Spinlock Control in MPSoCs.
Int. J. Embed. Real Time Commun. Syst., 2013

Automatic Calibration of Streaming Applications for Software Mapping Exploration.
IEEE Des. Test, 2013

EURETILE 2010-2012 summary: first three years of activity of the European Reference Tiled Experiment
CoRR, 2013

DSPACE hardware architecture for on-board real-time image/video processing in European space missions.
Proceedings of the Real-Time Image and Video Processing 2013, 2013

Mapping of MIMO Receiver Algorithms onto Application-Specific Multi-Core Platforms.
Proceedings of the ISWCS 2013, 2013

Split-cost communication model for improved MPSoC application mapping.
Proceedings of the 2013 International Symposium on System on Chip, 2013

legaSCi: Legacy SystemC Model Integration into Parallel Systemc Simulators.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

Embedded Real-Time Application Prototyping Using a Hybrid Multiprocessing Platform.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

Creation of ESL power models for communication architectures using automatic calibration.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

A New Space Digital Signal Processor Design.
Proceedings of the Applications in Electronics Pervading Industry, Environment and Society, 2013

2012
Throughput driven transformations of Synchronous Data Flows for mapping to heterogeneous MPSoCs.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

An FPGA-accelerated testbed for hardware component development in MIMO wireless communication systems.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

FIFO Exploration in Mapping Streaming Applications onto the TI OMAP3530 Platform: Case Study and Optimizations.
Proceedings of the IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, 2012

Application-aware spinlock control using a hardware scheduler in MPSoC platforms.
Proceedings of the 2012 International Symposium on System on Chip, 2012

Cause and effect of nondeterministic behavior in sequential and parallel SystemC simulators.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012

Scandal: Systemc analysis for nondeterminism anomalies.
Proceedings of the Proceeding of the 2012 Forum on Specification and Design Languages, 2012

Virtual platforms: Breaking new grounds.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Hybrid simulation for extensible processor cores.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Synchronization for hybrid MPSoC full-system simulation.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Communication-aware mapping of KPN applications onto heterogeneous MPSoCs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Fast graph-based instruction selection for multi-output instructions.
Softw. Pract. Exp., 2011

Optimized Communication Architecture of MPSoCs with a Hardware Scheduler: A System-Level Analysis.
Int. J. Embed. Real Time Commun. Syst., 2011

Checkpointing SystemC-Based Virtual Platforms.
Int. J. Embed. Real Time Commun. Syst., 2011

A retargetable framework for compiler/architecture co-development.
Des. Autom. Embed. Syst., 2011

Enhanced structural analysis for C code reconstruction from IR code.
Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems, 2011

Trends in embedded software synthesis.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Virtual Manycore platforms: Moving towards 100+ processor cores.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A Scalable VLSI Architecture for Soft-Input Soft-Output Single Tree-Search Sphere Decoding.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

Analytical and Simulation-based Design Space Exploration of Software Defined Radios.
Int. J. Parallel Program., 2010

Automatic Generation of Memory Interfaces for ASIPs.
Int. J. Embed. Real Time Commun. Syst., 2010

System level MPSoC design: a bright future for compiler technology?
Proceedings of the 13th International Workshop on Software and Compilers for Embedded Systems, 2010



Optimized communication architecture of MPSoCs with a hardware scheduler: A system view.
Proceedings of the 2010 International Symposium on System on Chip, SoC 2010, Tampere, 2010

Towards Network Centric Development of Embedded Systems.
Proceedings of IEEE International Conference on Communications, 2010

Cool MPSoC programming.
Proceedings of the Design, Automation and Test in Europe, 2010

Trace-based KPN composability analysis for mapping simultaneous applications to MPSoC platforms.
Proceedings of the Design, Automation and Test in Europe, 2010

parSC: synchronous parallel systemc simulation on multi-core host architectures.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

MPSoC programming using the MAPS compiler.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Software Compilation Techniques for MPSoCs.
Proceedings of the Handbook of Signal Processing Systems, 2010

2009
A SIMD optimization framework for retargetable compilers.
ACM Trans. Archit. Code Optim., 2009

Integrated verification approach during ADL-driven processor design.
Microelectron. J., 2009

Designing an ASIP for Cryptographic Pairings over Barreto-Naehrig Curves.
IACR Cryptol. ePrint Arch., 2009

A Scalable VLSI Architecture for Soft-Input Soft-Output Depth-First Sphere Decoding
CoRR, 2009

A Workbench for Analytical and Simulation Based Design Space Exploration of Software Defined Radios.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

A Fast and Flexible Platform for Fault Injection and Evaluation in Verilog-Based Simulations.
Proceedings of the Third IEEE International Conference on Secure Software Integration and Reliability Improvement, 2009

A Generic Design Flow for Application Specific Processor Customization through Instruction-Set Extensions (ISEs).
Proceedings of the Embedded Computer Systems: Architectures, 2009

A checkpoint/restore framework for systemc-based virtual platforms.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

Automatic generation of memory interfaces.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

Task management in MPSoCs: An ASIP approach.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Programming MPSoC platforms: Road works ahead!
Proceedings of the Design, Automation and Test in Europe, 2009

TotalProf: a fast and accurate retargetable source code profiler.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

A high-level virtual platform for early MPSoC software development.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors.
ACM Trans. Embed. Comput. Syst., 2008

Power-efficient Instruction Encoding Optimization for Various Architecture Classes.
J. Comput., 2008

SoC multiprocessor debugging and synchronisation using generic dynamic-connect debugger frontends.
Int. J. Embed. Syst., 2008

Virtual architecture mapping: a SystemC based methodology for architectural exploration of System-on-Chips.
Int. J. Embed. Syst., 2008

System-Level Design and Application Mapping for Wireless and Multimedia MPSoC Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

Retargetable Code Optimization for Predicated Execution.
Proceedings of the Design, Automation and Test in Europe, 2008

High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

Multiprocessor performance estimation using hybrid simulation.
Proceedings of the 45th Design Automation Conference, 2008

MAPS: an integrated framework for MPSoC application parallelization.
Proceedings of the 45th Design Automation Conference, 2008

2007
ASIP architecture exploration for efficient IPSec encryption: A case study.
ACM Trans. Embed. Comput. Syst., 2007

Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors.
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007

Increasing data-bandwidth to instruction-set extensions through register clustering.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Interactive presentation: SoftSIMD - exploiting subword parallelism using source code transformations.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Design space exploration of partially re-configurable embedded processors.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A code-generator generator for multi-output instructions.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

HySim: a fast simulation framework for embedded software development.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

A fast and generic hybrid simulation approach using C virtual machine.
Proceedings of the 2007 International Conference on Compilers, 2007

Optimized ASIP synthesis from architecture description language models.
Kluwer, ISBN: 978-1-4020-5685-7, 2007

2006
Modeling Instruction Semantics in ADL Processor Descriptions for C Compiler Retargeting.
J. VLSI Signal Process., 2006

Offset assignment using simultaneous variable coalescing.
ACM Trans. Embed. Comput. Syst., 2006

Memory Access Micro-Profiling for ASIP Design.
Proceedings of the Third IEEE International Workshop on Electronic Design, 2006

An interprocedural code optimization technique for network processors using hardware multi-threading support.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A design flow for configurable embedded processors based on optimized instruction set extension synthesis.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A SW performance estimation framework for early system-level-design using fine-grained instrumentation.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Design and implementation of a modular and portable IEEE 754 compliant floating-point unit.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

ASIP design and synthesis for non linear filtering in image processing.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

Automatic ADL-based operand isolation for embedded processors.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

An integrated open framework for heterogeneous MPSoC design space exploration.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

SHAPES: : a tiled scalable software hardware architecture platform for embedded systems.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Retargetable code optimization with SIMD instructions.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Integrated system-level modeling of network-on-chip enabled multi-processor platforms.
Kluwer, ISBN: 978-1-4020-4825-8, 2006

2005
Optimization Techniques for ADL-Driven RTL Processor Synthesis.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

A Modular Simulation Framework for Spatial and Temporal Task Mapping onto Multi-Processor SoC Platforms.
Proceedings of the 2005 Design, 2005

C Compiler Retargeting Based on Instruction Semantics Models.
Proceedings of the 2005 Design, 2005

Fine-grained application source code profiling for ASIP design.
Proceedings of the 42nd Design Automation Conference, 2005

Retargetable generation of TLM bus interfaces for MP-SoC platforms.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

A framework for automated and optimized ASIP implementation supporting multiple hardware description languages.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

Digital Signal Processors.
Proceedings of the Handbook of Networked and Embedded Control Systems, 2005

2004
A universal technique for fast and flexible instruction-set architecture simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Early ISS Integration into Network-on-Chip Designs.
Proceedings of the Computer Systems: Architectures, 2004

Virtual Architecture Mapping: A SystemC Based Methodology for Architectural Exploration of System-on-Chip Designs.
Proceedings of the Computer Systems: Architectures, 2004

A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform.
Proceedings of the 2004 Design, 2004

RTL Processor Synthesis for Architecture Exploration and Implementation.
Proceedings of the 2004 Design, 2004

A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models.
Proceedings of the 2004 Design, 2004

A novel approach for flexible and consistent ADL-driven ASIP design.
Proceedings of the 41th Design Automation Conference, 2004

Compiler based exploration of DSP energy savings by SIMD operations.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Instruction Scheduler Generation for Retargetable Compilation.
IEEE Des. Test Comput., 2003

Extraction of Efficient Instruction Schedulers from Cycle-True Processor Models.
Proceedings of the Software and Compilers for Embedded Systems, 7th International Workshop, 2003

Improving Offset Assignment through Simultaneous Variable Coalescing.
Proceedings of the Software and Compilers for Embedded Systems, 7th International Workshop, 2003

Processor/Memory Co-Exploration on Multiple Abstraction Levels.
Proceedings of the 2003 Design, 2003

Instruction encoding synthesis for architecture exploration using hierarchical processor models.
Proceedings of the 40th Design Automation Conference, 2003

A modular simulation framework for architectural exploration of on-chip interconnection networks.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

Offset Assignment Showdown: Evaluation of DSP Address Code Optimization Algorithms.
Proceedings of the Compiler Construction, 12th International Conference, 2003

2002
Compiler Design Issues for Embedded Processors.
IEEE Des. Test Comput., 2002

Application specific compiler/architecture codesign: a case study.
Proceedings of the 2002 Joint Conference on Languages, 2002

Architecture exploration for embedded processors with LISA.
Kluwer, ISBN: 978-1-4020-7338-0, 2002

2001
C compiler design for a network processor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

C Compiler Design for an Industrial Network Processor.
Proceedings of The Workshop on Languages, 2001

Low-Energy DSP Code Generation Using a Genetic Algorithm.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

Variable partitioning for dual memory bank DSPs.
Proceedings of the IEEE International Conference on Acoustics, 2001

Optimized address assignment for DSPs with SIMD memory accesses.
Proceedings of ASP-DAC 2001, 2001

Retargetable compiler technology for embedded systems - tools and applications.
Kluwer, ISBN: 978-0-7923-7578-4, 2001

2000
Graph-based code selection techniques for embedded processors.
ACM Trans. Design Autom. Electr. Syst., 2000

Code Generation for Embedded Processors.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Code Selection for Media Processors with SIMD Instructions.
Proceedings of the 2000 Design, 2000

Register allocation for common subexpressions in DSP data paths.
Proceedings of ASP-DAC 2000, 2000

Instruction Scheduling for Clustered VLIW DSPs.
Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), 2000

Code optimization techniques for embedded processors - methods, algorithms, and tools.
Kluwer, ISBN: 978-0-7923-7989-8, 2000

1999
Phase-Coupled Mapping of Data Flow Graphs to Irregular Data Paths.
Des. Autom. Embed. Syst., 1999

Array Index Allocation under Register Constraints in DSP Programs.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Function inlining under code size constraints for embedded processors.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Exploiting Conditional Instructions in Code Generation for Embedded VLIW Processors.
Proceedings of the 1999 Design, 1999

Constraint Driven Code Selection for Fixed-Point DSPs.
Proceedings of the 36th Conference on Design Automation, 1999

Generation of Interpretive and Compiled Instruction Set Simulators.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1998
Retargetable Code Generation Based on Structural Processor Description.
Des. Autom. Embed. Syst., 1998

A Uniform Optimization Technique for Offset Assignment Problems.
Proceedings of the 11th International Symposium on System Synthesis, 1998

HDL-Based Modeling of Embedded Processor Behavior for Retargetable Compilation.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Register-Constrained Address Computation in DSP Programs.
Proceedings of the 1998 Design, 1998

Optimized Array Index Computation in DSP Programs.
Proceedings of the ASP-DAC '98, 1998

1997
Time-constrained code compaction for DSPs.
IEEE Trans. Very Large Scale Integr. Syst., 1997

Retargetable generation of code selectors from HDL processor models.
Proceedings of the European Design and Test Conference, 1997

Retargetable code generation for digital signal processors.
Kluwer, ISBN: 978-0-7923-9958-2, 1997

1996
Instruction-Set Modeling for ASIP Code Generation.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Algorithms for address assignment in DSP code generation.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Instruction selection for embedded DSPs with complex instructions.
Proceedings of the conference on European design automation, 1996

1995
A BDD-based frontend for retargetable compilers.
Proceedings of the 1995 European Design and Test Conference, 1995

Using compilers for heterogeneous system design.
Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, 1995

1994
Retargetable assembly code generation by bootstrapping.
Proceedings of the 7th International Symposium on High Level Synthesis, 1994

Microcode Generation for Flexible Parallel Target Architectures.
Proceedings of the Parallel Architectures and Compilation Techniques, 1994

Instruction set extraction from programmable structures.
Proceedings of the Proceedings EURO-DAC'94, 1994

1993
Resistance Extraction using a Routing Algorithm.
Proceedings of the 30th Design Automation Conference. Dallas, 1993


  Loading...