Paolo Rech

Orcid: 0000-0002-0821-1879

Affiliations:
  • University of Trento, Italy


According to our database1, Paolo Rech authored at least 100 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
State of practice: evaluating GPU performance of state vector and tensor network methods.
CoRR, 2024

2023
Efficient Error Detection for Matrix Multiplication With Systolic Arrays on FPGAs.
IEEE Trans. Computers, August, 2023

Dataset for A Systematic Methodology to Compute the Quantum Vulnerability Factors for Quantum Circuits.
Dataset, May, 2023

Soft Error Effects on Arm Microprocessors: Early Estimations Versus Chip.
Computer, 2023

Understanding the Effects of Permanent Faults in GPU's Parallelism Management and Control Units.
Proceedings of the International Conference for High Performance Computing, 2023

Understanding the Effect of Transpilation in the Reliability of Quantum Circuits.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2023

Understanding and Improving GPUs' Reliability Combining Beam Experiments with Fault Simulation.
Proceedings of the IEEE European Test Symposium, 2023

An unprotected RISC-V Soft-core processor on an SRAM FPGA: Is it as bad as it sounds?
Proceedings of the IEEE European Test Symposium, 2023

Quantum Computing Reliability: Problems, Tools, and Potential Solutions.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

Neutron Radiation Tests of the NEORV32 RISC-V SoC on Flash-Based FPGAs.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023

2022
Reduced Precision DWC: An Efficient Hardening Strategy for Mixed-Precision Architectures.
IEEE Trans. Computers, 2022

Soft Error Effects on Arm Microprocessors: Early Estimations versus Chip Measurements.
IEEE Trans. Computers, 2022

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks.
CoRR, 2022

Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead.
CoRR, 2022

A Multi-level Approach to Evaluate the Impact of GPU Permanent Faults on CNN's Reliability.
Proceedings of the IEEE International Test Conference, 2022

Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Transient-Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

Understanding the Impact of Cutting in Quantum Circuits Reliability to Transient Faults.
Proceedings of the 28th IEEE International Symposium on On-Line Testing and Robust System Design, 2022

Impact of Reduced and Mixed-Precision on the Efficiency of a Multi-GPU Platform on CFD Applications.
Proceedings of the Computational Science and Its Applications - ICCSA 2022 Workshops, 2022

QuFI: a Quantum Fault Injector to Measure the Reliability of Qubits and Quantum Circuits.
Proceedings of the 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2022

Reliability of Google's Tensor Processing Units for Convolutional Neural Networks.
Proceedings of the 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2022

Reliability of Google's Tensor Processing Units for Embedded Applications.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Thermal neutrons: a possible threat for supercomputer reliability.
J. Supercomput., 2021

Collaborative execution of fluid flow simulation using non-uniform decomposition on heterogeneous architectures.
J. Parallel Distributed Comput., 2021

A Systematic Methodology to Compute the Quantum Vulnerability Factors for Quantum Circuits.
CoRR, 2021

Experimental Findings on the Sources of Detected Unrecoverable Errors in GPUs.
CoRR, 2021

Combining Architectural Simulation and Software Fault Injection for a Fast and Accurate CNNs Reliability Evaluation on GPUs.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021

The Impact of SoC Integration and OS Deployment on the Reliability of Arm Processors.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Demystifying GPU Reliability: Comparing and Combining Beam Experiments, Fault Simulation, and Profiling.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

Protecting GPU's Microarchitectural Vulnerabilities via Effective Selective Hardening.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Revealing GPUs Vulnerabilities by Combining Register-Transfer and Software-Level Fault Injection.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021

2020
Estimating Silent Data Corruption Rates Using a Two-Level Model.
CoRR, 2020

(Special Topic Submission) Enabling Domain-Specific Architectures with an Open-Source Soft-Core GPGPU.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020

Reduced-Precision DWC for Mixed-Precision GPUs.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Thermal Neutrons: a Possible Threat for Supercomputers and Safety Critical Applications.
Proceedings of the IEEE European Test Symposium, 2020

An Overview of the Risk Posed by Thermal Neutrons to the Reliability of Computing Devices.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

Impact of Layers Selective Approximation on CNNs Reliability and Performance.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
Analyzing and Increasing the Reliability of Convolutional Neural Networks on GPUs.
IEEE Trans. Reliab., 2019

Kernel and layer vulnerability factor to evaluate object detection reliability in GPUs.
IET Comput. Digit. Tech., 2019

Non-uniform Partitioning for Collaborative Execution on Heterogeneous Architectures.
Proceedings of the 31st International Symposium on Computer Architecture and High Performance Computing, 2019

Using Frame Similarity for Low Energy Software-Only IoT Video Recognition.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Impact of Workload Distribution on Energy Consumption, Performance, and Reliability of Heterogeneous Devices.
Proceedings of the 27th Euromicro International Conference on Parallel, 2019

Detecting Errors in Convolutional Neural Networks Using Inter Frame Spatio-Temporal Correlation.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Reliability Evaluation of Mixed-Precision Architectures.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Impact of Reduced Precision in the Reliability of Deep Neural Networks for Object Detection.
Proceedings of the 24th IEEE European Test Symposium, 2019

Demystifying Soft Error Assessment Strategies on ARM CPUs: Microarchitectural Fault Injection vs. Neutron Beam Experiments.
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019

A Comprehensive Evaluation of the Effects of Input Data on the Resilience of GPU Applications.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

Increasing the Efficiency and Efficacy of Selective-Hardening for Parallel Applications.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

Identifying the Most Reliable Collaborative Workload Distribution in Heterogeneous Devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Energy-Delay-FIT Product to compare processors and algorithm implementations.
Microelectron. Reliab., 2018

Special session: How approximate computing impacts verification, test and reliability.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Non-uniform Domain Decomposition for Heterogeneous Accelerated Processing Units.
Proceedings of the High Performance Computing for Computational Science - VECPAR 2018, 2018

Comparative Analysis of Inference Errors in a Neural Network Implemented in SRAM-Based FPGA Induced by Neutron Irradiation and Fault Injection Methods.
Proceedings of the 31st Symposium on Integrated Circuits and Systems Design, 2018

Predicting the Reliability Behavior of HPC Applications.
Proceedings of the 30th International Symposium on Computer Architecture and High Performance Computing, 2018

Reliability analysis on case-study traffic sign convolutional neural network on APSoC.
Proceedings of the 19th IEEE Latin-American Test Symposium, 2018

Code-Dependent and Architecture-Dependent Reliability Behaviors.
Proceedings of the 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2018

Evaluating the impact of execution parameters on program vulnerability in GPU applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Analyzing the criticality of transient faults-induced SDCS on GPU applications.
Proceedings of the 8th Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, 2017

Experimental and analytical study of Xeon Phi reliability.
Proceedings of the International Conference for High Performance Computing, 2017

Combining architectural fault-injection and neutron beam testing approaches toward better understanding of GPU soft-error resilience.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

Radiation-Induced Error Criticality in Modern HPC Parallel Accelerators.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

Evaluation and Mitigation of Soft-Errors in Neural Network-Based Object Detection in Three GPU Architectures.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2017

Kernel vulnerability factor and efficient hardening for histogram of oriented gradients.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

CAROL-FI: an Efficient Fault-Injection Tool for Vulnerability Evaluation of Modern HPC Parallel Accelerators.
Proceedings of the Computing Frontiers Conference, 2017

Effectiveness of Software-Based Hardening for Radiation-Induced Soft Errors in Real-Time Operating Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2017, 2017

2016
Beyond Cross-Section: Spatio-Temporal Reliability Analysis.
ACM Trans. Embed. Comput. Syst., 2016

Evaluation and Mitigation of Radiation-Induced Soft Errors in Graphics Processing Units.
IEEE Trans. Computers, 2016

Evaluation of Histogram of Oriented Gradients Soft Errors Criticality for Automotive Applications.
ACM Trans. Archit. Code Optim., 2016

2015
Field, experimental, and analytical data on large-scale HPC systems and evaluation of the implications for exascale system design.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015

The Path to Exascale: Code Optimizations and Hardening Solutions Reliability.
Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2015

Understanding GPU errors on large-scale HPC systems and the implications for system design and operation.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

Exploiting cache conflicts to reduce radiation sensitivity of operating systems on embedded systems.
Proceedings of the 2015 International Conference on Compilers, 2015

Measuring Failure Probability of Coarse and Fine Grain TMR Schemes in SRAM-based FPGAs Under Neutron-Induced Effects.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
Evaluating the radiation sensitivity of GPGPU caches: New algorithms and experimental results.
Microelectron. Reliab., 2014

Voltage scaling and aging effects on soft error rate in SRAM-based FPGAs.
Microelectron. Reliab., 2014

GPUs Neutron Sensitivity Dependence on Data Type.
J. Electron. Test., 2014

Power dissipation effects on 28nm FPGA-based System on Chips neutron sensitivity.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Fault injection in GPGPU cores to validate and debug robust parallel applications.
Proceedings of the 2014 IEEE 20th International On-Line Testing Symposium, 2014

Early reliability evaluation of a biomédical system.
Proceedings of the 9th International Design and Test Symposium, 2014

Reducing embedded software radiation-induced failures through cache memories.
Proceedings of the 19th IEEE European Test Symposium, 2014

Aging and voltage scaling impacts under neutron-induced soft error rate in SRAM-based FPGAs.
Proceedings of the 19th IEEE European Test Symposium, 2014

Impact of GPUs Parallelism Management on Safety-Critical and HPC Applications Reliability.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014

Radiation Sensitivity of High Performance Computing Applications on Kepler-Based GPGPUs.
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014

Decreasing FIT with diverse triple modular redundancy in SRAM-based FPGAs.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

GPGPUs ECC efficiency and efficacy.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

GPGPUs: How to combine high computational power with high reliability.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Neutron sensitivity of integer and floating point operations executed in GPUs.
Proceedings of the 14th Latin American Test Workshop, 2013

Neutron-induced single event effects analysis in a SAR-ADC architecture embedded in a mixed-signal SoC.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

Experimental evaluation of GPUs radiation sensitivity and algorithm-based fault tolerance efficiency.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

On the evaluation of soft-errors detection techniques for GPGPUs.
Proceedings of the 8th International Design and Test Symposium, 2013

Neutron sensitivity and software hardening strategies for matrix multiplication and FFT on graphics processing units.
Proceedings of the 3rd Workshop on Fault-tolerance for HPC at extreme scale, 2013

Experimental evaluation of thread distribution effects on multiple output errors in GPUs.
Proceedings of the 18th IEEE European Test Symposium, 2013

2012
Neutron radiation test of graphic processing units.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

2011
Neutron detection in atmospheric environment through static and dynamic SRAM-based test bench.
Proceedings of the 12th Latin American Test Workshop, 2011

2010
A roaming memory test bench for detecting particle induced SEUs.
Proceedings of the 2011 IEEE International Test Conference, 2010

Analysis of root causes of alpha sensitivity variations on microprocessors manufactured using different cell layouts.
Proceedings of the 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 2010

A Memory Fault Simulator for Radiation-Induced Effects in SRAMs.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
DfT Reuse for Low-Cost Radiation Testing of SoCs: A Case Study.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Evaluating Alpha-induced soft errors in embedded microprocessors.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

2007
Sensitivity Evaluation of TMR-Hardened Circuits to Multiple SEUs Induced by Alpha Particles in Commercial SRAM-Based FPGAs.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007


  Loading...