Jaume Abella

Orcid: 0000-0001-7951-4028

Affiliations:
  • Barcelona Supercomputing Center, Spain
  • Intel Barcelona Research Center, Spain (2005 - 2009)
  • Technical University of Catalonia, Barcelona, Spain (PhD 2005)


According to our database1, Jaume Abella authored at least 246 papers between 2002 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
An Energy-Efficient GeMM-Based Convolution Accelerator With On-the-Fly im2col.
IEEE Trans. Very Large Scale Integr. Syst., November, 2023

Main sources of variability and non-determinism in AD software: taxonomy and prospects to handle them.
Real Time Syst., September, 2023

Dynamic and execution views to improve validation, testing, and optimization of autonomous driving software.
Softw. Qual. J., June, 2023

On Neural Networks Redundancy and Diversity for Their Use in Safety-Critical Systems.
Computer, May, 2023

Vector Extensions in COTS Processors to Increase Guaranteed Performance in Real-Time Systems.
ACM Trans. Embed. Comput. Syst., March, 2023

Uncertainty Management in Dependable and Intelligent Embedded Software.
Computer, March, 2023

Accurately Measuring Contention in Mesh NoCs in Time-Sensitive Embedded Systems.
ACM Trans. Design Autom. Electr. Syst., 2023

An automotive case study on the limits of approximation for object detection.
J. Syst. Archit., 2023

GPU Devices for Safety-Critical Systems: A Survey.
ACM Comput. Surv., 2023

SafeTI Traffic Injector Enhancement for Effective Interference Testing in Critical Real-Time Systems.
CoRR, 2023

SafeLS: Toward Building a Lockstep NOEL-V Core.
CoRR, 2023

Envisioning a Safety Island to Enable HPC Devices in Safety-Critical Domains.
CoRR, 2023

Tracking Coherence-Related Contention Delays in Real-Time Multicore Systems.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023

ASCOM: Affordable Sequence-aware COntention Modeling in Crossbar-based MPSoCs.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023

A Software-Only Approach to Enable Diverse Redundancy on Intel GPUs for Safety-Related Kernels.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023

Improving Timing-Related Guarantees for Main Memory in Multicore Critical Embedded Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2023

SafeLS: An Open Source Implementation of a Lockstep NOEL-V RISC-V Core.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

Quasi Isolation QoS Setups to Control MPSoC Contention in Integrated Software Architectures.
Proceedings of the 35th Euromicro Conference on Real-Time Systems, 2023

Black-Box IP Validation with the SafeTI Traffic Injector: A Success Story.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2023



Efficient Diverse Redundant DNNs for Autonomous Driving.
Proceedings of the 47th IEEE Annual Computers, Software, and Applications Conference, 2023

Standardizing the Probabilistic Sources of Uncertainty for the sake of Safety Deep Learning.
Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023

2022
Achieving Diverse Redundancy for GPU Kernels.
IEEE Trans. Emerg. Top. Comput., 2022

At-scale evaluation of weight clustering to enable energy-efficient object detection.
J. Syst. Archit., 2022

End-to-End QoS for the Open Source Safety-Relevant RISC-V SELENE Platform.
CoRR, 2022

SafeSoftDR: A Library to Enable Software-based Diverse Redundancy for Safety-Critical Tasks.
CoRR, 2022

ADBench: benchmarking autonomous driving systems.
Computing, 2022

SafeDX: Standalone Modules Providing Diverse Redundancy for Safety-Critical Applications.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

At-scale assessment of weight clustering for energy-efficient object detection accelerators.
Proceedings of the SAC '22: The 37th ACM/SIGAPP Symposium on Applied Computing, Virtual Event, April 25, 2022

A Methodology for Selective Protection of Matrix Multiplications: A Diagnostic Coverage and Performance Trade-off for CNNs Executed on GPUs.
Proceedings of the 6th International Conference on System Reliability and Safety, 2022

Contention Tracking in GPU Last-Level Cache.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

SafeX: Open Source Hardware and Software Components for Safety-Critical Systems.
Proceedings of the Forum on Specification & Design Languages, 2022

Using Markov's Inequality with Power-Of-k Function for Probabilistic WCET Estimation.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022

Using Quantile Regression in Neural Networks for Contention Prediction in Multicore Processors.
Proceedings of the 34th Euromicro Conference on Real-Time Systems, 2022

De-RISC: A Complete RISC-V Based Space-Grade Platform.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

SafeSU-2: a Safe Statistics Unit for Space MPSoCs.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

SafeDM: a Hardware Diversity Monitor for Redundant Execution on Non-Lockstepped Cores.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Worst-Case Energy Consumption: A New Challenge for Battery-Powered Critical Devices.
IEEE Trans. Sustain. Comput., 2021

Surrogate Applications for Early Design Stage Multicore Contention Modeling.
IEEE Trans. Emerg. Top. Comput., 2021

Towards functional safety compliance of matrix-matrix multiplication for machine learning-based autonomous systems.
J. Syst. Archit., 2021

Performance Analysis and Optimization Opportunities for NVIDIA Automotive GPUs.
J. Parallel Distributed Comput., 2021

Multi-core Devices for Safety-critical Systems: A Survey.
ACM Comput. Surv., 2021

Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives.
ACM Comput. Surv., 2021

MUCH: exploiting pairwise hardware event monitor correlations for improved timing analysis of complex MPSoCs.
Proceedings of the SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, 2021

SafeTI: a Hardware Traffic Injector for MPSoC Functional and Timing Validation.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

SafeDE: a flexible Diversity Enforcement hardware module for light-lockstepping.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

PRL: Standardizing Performance Monitoring Library for High-Integrity Real-Time Systems.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

SafeSU: an Extended Statistics Unit for Multicore Timing Interference.
Proceedings of the 26th IEEE European Test Symposium, 2021


Leveraging Hardware QoS to Control Contention in the Xilinx Zynq UltraScale+ MPSoC.
Proceedings of the 33rd Euromicro Conference on Real-Time Systems, 2021

Enabling Unit Testing of Already-Integrated AI Software Systems: The Case of Apollo for Autonomous Driving.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

Empirical Evidence for MPSoCs in Critical Systems: The Case of NXP's T2080 Cache Coherence.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
HRM: Merging Hardware Event Monitors for Improved Timing Analysis of Complex MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

GPU4S: Embedded GPUs in space - Latest project updates.
Microprocess. Microsystems, 2020

CleanET: enabling timing validation for complex automotive systems.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

IntPred: flexible, fast, and accurate object detection for autonomous driving systems.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

On the reliability of hardware event monitors in MPSoCs for critical domains.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

En-Route: on enabling resource usage testing for autonomous driving frameworks.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

Modeling Contention Interference in Crossbar-based Systems via Sequence-Aware Pairing (SeAP).
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

Timing of Autonomous Driving Software: Problem Analysis and Prospects for Future Solutions.
Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium, 2020

A Cross-Layer Review of Deep Learning Frameworks to Ease Their Optimization and Reuse.
Proceedings of the 23rd IEEE International Symposium on Real-Time Distributed Computing, 2020

Tracing Hardware Monitors in the GR712RC Multicore Platform: Challenges and Lessons Learnt from a Space Case Study.
Proceedings of the 32nd Euromicro Conference on Real-Time Systems, 2020

Software-Only Triple Diverse Redundancy on GPUs for Autonomous Driving Platforms.
Proceedings of the 50th Annual IEEE-IFIP International Conference on Dependable Systems and Networks, 2020

Workshop on High-performance Computing Platforms for Dependable Autonomous Systems.
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2020

The ECSEL FRACTAL Project: A Cognitive Fractal and Secure edge based on a unique Open-Safe-Reliable-Low Power Hardware Platform.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

SELENE: Self-Monitored Dependable Platform for High-Performance Safety-Critical Systems.
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020

Software-only based Diverse Redundancy for ASIL-D Automotive Applications on Embedded HPC Platforms.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020


2019
Increasing the Reliability of Software Timing Analysis for Cache-Based Processors.
IEEE Trans. Computers, 2019

Locality-aware cache random replacement policies.
J. Syst. Archit., 2019

Time-Randomized Wormhole NoCs for Critical Applications.
ACM J. Emerg. Technol. Comput. Syst., 2019

Randomization for Safer, more Reliable and Secure, High-Performance Automotive Processors.
IEEE Des. Test, 2019

Probabilistic Worst-Case Timing Analysis: Taxonomy and Comprehensive Survey.
ACM Comput. Surv., 2019

ePAPI: Performance Application Programming Interface for Embedded Platforms.
Proceedings of the 19th International Workshop on Worst-Case Execution Time Analysis, 2019

Performance Analysis and Optimization of Automotive GPUs.
Proceedings of the 31st International Symposium on Computer Architecture and High Performance Computing, 2019

On assessing the viability of probabilistic scheduling with dependent tasks.
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 2019

Accurate ILP-Based Contention Modeling on Statically Scheduled Multicore Systems.
Proceedings of the 25th IEEE Real-Time and Embedded Technology and Applications Symposium, 2019

STT-MRAM for real-time embedded systems: performance and WCET implications.
Proceedings of the International Symposium on Memory Systems, 2019

Software-only Diverse Redundancy on GPUs for Autonomous Driving Platforms.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Software Timing Analysis for Complex Hardware with Survivability and Risk Analysis.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

Generating and Exploiting Deep Learning Variants to Increase Heterogeneous Resource Utilization in the NVIDIA Xavier.
Proceedings of the 31st Euromicro Conference on Real-Time Systems, 2019

Modeling the Impact of Process Variations in Worst-Case Energy Consumption Estimation.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

An Approach for Detecting Power Peaks During Testing and Breaking Systematic Pathological Behavior.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

GPU4S: Embedded GPUs in Space.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

AURIX TC277 Multicore Contention Model Integration for Automotive Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Multicore Early Design Stage Guaranteed Performance Estimates for the Space Domain.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Maximum-Contention Control Unit (MCCU): Resource Access Count and Contention Time Enforcement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

LAEC: Look-Ahead Error Correction Codes in Embedded Processors L1 Data Cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

High-Integrity GPU Designs for Critical Real-Time Automotive Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Assessing the Adherence of an Industrial Autonomous Driving Framework to ISO 26262 Software Guidelines.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Towards limiting the impact of timing anomalies in complex real-time processors.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Fitting Software Execution-Time Exceedance into a Residual Random Fault in ISO-26262.
IEEE Trans. Reliab., 2018

EOmesh: Combined Flow Balancing and Deterministic Routing for Reduced WCET Estimates in Embedded Real-Time Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V.
IEEE Micro, 2018

Safety-Related Challenges and Opportunities for GPUs in the Automotive Domain.
IEEE Micro, 2018

Reconciling Time Predictability and Performance in Future Computing Systems.
IEEE Des. Test, 2018

Assessing Time Predictability Features of ARM Big. LITTLE Multicores.
Proceedings of the 30th International Symposium on Computer Architecture and High Performance Computing, 2018

RPR: a random replacement policy with limited pathological replacements.
Proceedings of the 33rd Annual ACM Symposium on Applied Computing, 2018

NoCo: ILP-Based Worst-Case Contention Estimation for Mesh Real-Time Manycores.
Proceedings of the 2018 IEEE Real-Time Systems Symposium, 2018

HWP: Hardware Support to Reconcile Cache Energy, Complexity, Performance and WCET Estimates in Multicore Real-Time Systems.
Proceedings of the 30th Euromicro Conference on Real-Time Systems, 2018

A Reliable Statistical Analysis of the Best-Fit Distribution for High Execution Times.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Design and integration of hierarchical-placement multi-level caches for real-time systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Cache side-channel attacks and time-predictability in high-performance critical real-time systems.
Proceedings of the 55th Annual Design Automation Conference, 2018

Measurement-based cache representativeness on multipath programs.
Proceedings of the 55th Annual Design Automation Conference, 2018

Modelling multicore contention on the AURIX<sup><i>TM</i></sup> TC27x.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Measurement-Based Worst-Case Execution Time Estimation Using the Coefficient of Variation.
ACM Trans. Design Autom. Electr. Syst., 2017

Computing Safe Contention Bounds for Multicore Resources with Round-Robin and FIFO Arbitration.
IEEE Trans. Computers, 2017

Adapting TDMA arbitration for measurement-based probabilistic timing analysis.
Microprocess. Microsystems, 2017

Execution time distributions in embedded safety-critical systems using extreme value theory.
Int. J. Data Anal. Tech. Strateg., 2017

On the assessment of probabilistic WCET estimates reliability for arbitrary programs.
EURASIP J. Embed. Syst., 2017

Modelling bus contention during system early design stages.
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017

On uses of extreme value theory fit for industrial-quality WCET analysis.
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017

On the tailoring of CAST-32A certification guidance to real COTS multicore architectures.
Proceedings of the 12th IEEE International Symposium on Industrial Embedded Systems, 2017

SEDEA: A Sensible Approach to Account DRAM Energy in Multicore Systems.
Proceedings of the 29th International Symposium on Computer Architecture and High Performance Computing, 2017

Work-in-Progress Paper: An Analysis of the Impact of Dependencies on Probabilistic Timing Analysis and Task Scheduling.
Proceedings of the 2017 IEEE Real-Time Systems Symposium, 2017

EPC Enacted: Integration in an Industrial Toolbox and Use against a Railway Application.
Proceedings of the 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, 2017

Design and Implementation of a Time Predictable Processor: Evaluation With a Space Case Study.
Proceedings of the 29th Euromicro Conference on Real-Time Systems, 2017

Boosting Guaranteed Performance in Wormhole NoCs with Probabilistic Timing Analysis.
Proceedings of the Euromicro Conference on Digital System Design, 2017

Design and implementation of a fair credit-based bandwidth sharing scheme for buses.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Probabilistic timing analysis on time-randomized platforms for the space domain.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Dynamic software randomisation: Lessons learnec from an aerospace case study.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

DIMP: A Low-Cost Diversity Metric Based on Circuit Path Analysis.
Proceedings of the 54th Annual Design Automation Conference, 2017

Software Time Reliability in the Presence of Cache Memories.
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding.
Proceedings of the Reliable Software Technologies - Ada-Europe 2017, 2017

2016
DReAM: An Approach to Estimate per-Task DRAM Energy in Multicore Systems.
ACM Trans. Design Autom. Electr. Syst., 2016

Parallelizing Industrial Hard Real-Time Applications for the parMERASA Multicore.
ACM Trans. Embed. Comput. Syst., 2016

Sensible Energy Accounting with Abstract Metering for Multicore Systems.
ACM Trans. Archit. Code Optim., 2016

Fitting processor architectures for measurement-based probabilistic timing analysis.
Microprocess. Microsystems, 2016

Measurement-Based Timing Analysis of the AURIX Caches.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016

Mitigating Software-Instrumentation Cache Effects in Measurement-Based Timing Analysis.
Proceedings of the 16th International Workshop on Worst-Case Execution Time Analysis, 2016

Data Bus Slicing for Contention-Free Multicore Real-Time Memory Systems.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Contention-aware performance monitoring counte support for real-time MPSoCs.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Modelling the confidence of timing analysis for time randomised caches.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

Improving Early Design Stage Timing Modeling in Multicore Based Real-Time Systems.
Proceedings of the 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2016

Modeling High-Performance Wormhole NoCs for Critical Real-Time Embedded Systems.
Proceedings of the 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2016

Modelling Probabilistic Cache Representativeness in the Presence of Arbitrary Access Patterns.
Proceedings of the 19th IEEE International Symposium on Real-Time Distributed Computing, 2016

Resilient random modulo cache memories for probabilistically-analyzable real-time systems.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

Modeling RTL fault models behavior to increase the confidence on TSIM-based fault injection.
Proceedings of the 22nd IEEE International Symposium on On-Line Testing and Robust System Design, 2016

A confidence assessment of WCET estimates for software time randomized caches.
Proceedings of the 14th IEEE International Conference on Industrial Informatics, 2016

TASA: toolchain-agnostic static software randomisation for critical real-time systems.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

pTNoC: Probabilistically Time-Analyzable Tree-Based NoC for Mixed-Criticality Systems.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016


A detailed methodology to compute Soft Error Rates in advanced technologies.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Improving performance guarantees in wormhole mesh NoC designs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Supertask: Maximizing runnable-level parallelism in AUTOSAR applications.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Random modulo: a new processor cache design for real-time critical systems.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Timely Error Detection for Effective Recovery in Light-Lockstep Automotive Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Randomized Caches Can Be Pretty Useful to Hard Real-Time Systems.
Leibniz Trans. Embed. Syst., 2015

WCET analysis methods: Pitfalls and challenges on their trustworthiness.
Proceedings of the 10th IEEE International Symposium on Industrial Embedded Systems, 2015

Introduction to partial time composability for COTS multicores.
Proceedings of the 30th Annual ACM Symposium on Applied Computing, 2015

EPC: Extended Path Coverage for Measurement-Based Probabilistic Timing Analysis.
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015

Seeking Time-Composable Partitions of Tasks for COTS Multicore Processors.
Proceedings of the IEEE 18th International Symposium on Real-Time Distributed Computing, 2015

Characterizing fault propagation in safety-critical processor designs.
Proceedings of the 21st IEEE International On-Line Testing Symposium, 2015

CAP: Communication-Aware Allocation Algorithm for Real-Time Parallel Applications on Many-Cores.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

Enabling TDMA Arbitration in the Context of MBPTA.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

IEC-61508 SIL 3 Compliant Pseudo-Random Number Generators for Probabilistic Timing Analysis.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

Timing analysis of an avionics case study on complex hardware/software platforms.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Low-cost checkpointing in automotive safety-relevant systems.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

PACO: fast average-performance estimation for time-randomized caches.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Resource usage templates and signatures for COTS multicore processors.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Increasing confidence on measurement-based contention bounds for real-time round-robin buses.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verification.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Speeding up Static Probabilistic Timing Analysis.
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015

2014
Analyzing the Efficiency of L1 Caches for Reliable Hybrid-Voltage Operation Using EDC Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Hybrid Cache Designs for Reliable Hybrid High and Ultra-Low Voltage Operation.
ACM Trans. Design Autom. Electr. Syst., 2014

Efficient Cache Designs for Probabilistically Analysable Real-Time Systems.
IEEE Trans. Computers, 2014

Timing Verification of Fault-Tolerant Chips for Safety-Critical Applications in Harsh Environments.
IEEE Micro, 2014

Per-task Energy Accounting in Computing Systems.
IEEE Comput. Archit. Lett., 2014

Contention in Multicore Hardware Shared Resources: Understanding of the State of the Art.
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis, 2014

A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study.
Proceedings of the IEEE 35th IEEE Real-Time Systems Symposium, 2014

AHRB: A high-performance time-composable AMBA AHB bus.
Proceedings of the 20th IEEE Real-Time and Embedded Technology and Applications Symposium, 2014

DReAM: Per-Task DRAM Energy Metering in Multicore Systems.
Proceedings of the Euro-Par 2014 Parallel Processing, 2014

Parallel many-core avionics systems.
Proceedings of the 2014 International Conference on Embedded Software, 2014

PUB: Path Upper-Bounding for Measurement-Based Probabilistic Timing Analysis.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

Heart of Gold: Making the Improbable Happen to Increase Confidence in MBPTA.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

Measurement-Based Probabilistic Timing Analysis and Its Impact on Processor Architecture.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Bus designs for time-probabilistic multicore processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Containing Timing-Related Certification Cost in Automotive Systems Deploying Complex Hardware.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

LiVe: Timely Error Detection in Light-Lockstep Safety Critical Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

RunPar: An allocation algorithm for automotive applications exploiting runnable parallelism in multicores.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

2013
PROARTIS: Probabilistically Analyzable Real-Time Systems.
ACM Trans. Embed. Comput. Syst., 2013

Hardware support for accurate per-task energy metering in multicore systems.
ACM Trans. Archit. Code Optim., 2013

Applying Measurement-Based Probabilistic Timing Analysis to Buffer Resources.
Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013

Upper-bounding Program Execution Time with Extreme Value Theory.
Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013

Measurement-based probabilistic timing analysis: Lessons from an integrated-modular avionics case study.
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013

Deconstructing bus access control policies for Real-Time multicores.
Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems, 2013

Multi-level Unified Caches for Probabilistically Time Analysable Real-Time Systems.
Proceedings of the IEEE 34th Real-Time Systems Symposium, 2013

On-chip ring network designs for hard-real time systems.
Proceedings of the 21st International Conference on Real-Time Networks and Systems, 2013

Implicit-storing and redundant-encoding-of-attribute information in error-correction-codes.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

Achieving timing composability with measurement-based probabilistic timing analysis.
Proceedings of the 16th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, 2013

Supporting industrial use of probabilistic timing analysis with explicit argumentation.
Proceedings of the 11th IEEE International Conference on Industrial Informatics, 2013

DTM: Degraded Test Mode for Fault-Aware Probabilistic Timing Analysis.
Proceedings of the 25th Euromicro Conference on Real-Time Systems, 2013


Efficient cache architectures for reliable hybrid voltage operation using EDC codes.
Proceedings of the Design, Automation and Test in Europe, 2013

Probabilistic timing analysis on conventional cache designs.
Proceedings of the Design, Automation and Test in Europe, 2013

A cache design for probabilistically analysable real-time systems.
Proceedings of the Design, Automation and Test in Europe, 2013

APPLE: adaptive performance-predictable low-energy caches for reliable hybrid voltage operation.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

On the convergence of mainstream and mission-critical markets.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
ADAM: an efficient data management mechanism for hybrid high and ultra-low voltage operation caches.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Measurement-Based Probabilistic Timing Analysis for Multi-path Programs.
Proceedings of the 24th Euromicro Conference on Real-Time Systems, 2012

2011
Compiler Directed Issue Queue Energy Reduction.
Trans. High Perform. Embed. Archit. Compil., 2011

Implementing End-to-End Register Data-Flow Continuous Self-Test.
IEEE Trans. Computers, 2011

Exploiting intra-task slack time of load operations for DVFS in hard real-time multi-core systems.
SIGBED Rev., 2011

Design of complex circuits using the Via-Configurable transistor array regular layout fabric.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

RVC-based time-predictable faulty caches for safety-critical systems.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Towards improved survivability in safety-critical systems.
Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS 2011), 2011

Hardware/software-based diagnosis of load-store queues using expandable activity logs.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

RVC: a mechanism for time-analyzable real-time processors with faulty caches.
Proceedings of the High Performance Embedded Architectures and Compilers, 2011

Control-Flow Recovery Validation Using Microarchitectural Invariants.
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011

Hybrid high-performance low-power and ultra-low energy reliable caches.
Proceedings of the 8th Conference on Computing Frontiers, 2011

2010
Microarchitectural Online Testing for Failure Detection in Memory Order Buffers.
IEEE Trans. Computers, 2010

Electromigration for microarchitects.
ACM Comput. Surv., 2010

VCTA: A Via-Configurable Transistor Array regular fabric.
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010

High-Performance low-vcc in-order core.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

The split register file.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Selective replication: A lightweight technique for soft errors.
ACM Trans. Comput. Syst., 2009

Energy-efficient register caching with compiler assistance.
ACM Trans. Archit. Code Optim., 2009

Exploring the limits of early register release: Exploiting compiler analysis.
ACM Trans. Archit. Code Optim., 2009

Low Vccmin fault-tolerant cache with highly predictable performance.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

End-to-end register data-flow continuous self-test.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Online error detection and correction of erratic bits in register files.
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009

2008
Refueling: Preventing Wire Degradation due to Electromigration.
IEEE Micro, 2008

On-line Failure Detection in Memory Order Buffers.
Proceedings of the 2008 IEEE International Test Conference, 2008

On-Line Failure Detection and Confinement in Caches.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

Issue system protection mechanisms.
Proceedings of the 26th International Conference on Computer Design, 2008

2007
Penelope: The NBTI-Aware Processor.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Surviving to Errors in Multi-Core Environments.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Fuse: A Technique to Anticipate Failures due to Degradation in ALUs.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

2006
SAMIE-LSQ: set-associative multiple-instruction entry load/store queue.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Heterogeneous way-size cache.
Proceedings of the 20th Annual International Conference on Supercomputing, 2006

2005
An accurate cost model for guiding data locality transformations.
ACM Trans. Program. Lang. Syst., 2005

IATAC: a smart predictor to turn-off L2 cache lines.
ACM Trans. Archit. Code Optim., 2005

Inherently Workload-Balanced Clustered Microarchitecture.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Software Directed Issue Queue Power Reduction.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

Compiler Directed Early Register Release.
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005

Variable-Based Multi-module Data Caches for Clustered VLIW Processors.
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005

2004
Low-Complexity Distributed Issue Queue.
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004

2003
Power- and Complexity-Aware Issue Queue Designs.
IEEE Micro, 2003

On Reducing Register Pressure and Energy in Multiple-Banked Register Files.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Power Efficient Data Cache Designs.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Power-Aware Adaptive Issue Queue and Register File.
Proceedings of the High Performance Computing - HiPC 2003, 10th International Conference, 2003

Optimizing Program Locality Through CMEs and GAs.
Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September, 2003

2002
Near-Optimal Loop Tiling by Means of Cache Miss Equations and Genetic Algorithms.
Proceedings of the 31st International Conference on Parallel Processing Workshops (ICPP 2002 Workshops), 2002


  Loading...